| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

交通灯控制系统EDA实验报告

文***品

贡献于2020-10-24

字数:4847


交通灯控制系统
EDA实验报告
2014



姓名:
学号:

课题名称
状态机设计交通信号控制系统

二 实验目
利学EDA相关知识完成交通灯控制系统设计实现提高学知识理解利熟练程度

三 设计务
设计十字路口交通控制系统求:
a 东西(A表示)南北(B表示)方均绿灯黄灯红灯指示持续时间分40秒五秒45秒交通灯运行切换示意图时间关系

交通控制系统运行切换示意图


更方灯光时间关系

b 系统设时钟倒计时方式显示路允许通行时间
c 东西南北两路中意路出现特殊状况时系统交警手动控制立进入特殊运行状态红灯全亮始终停止计时东西南北两路车辆禁止通行特殊状况结束系统恢复工作继续正常运行

四 实验程
a VHDL设计流程


b 交通控制系统顶层原理图50MHz分频器(devide50M)控制器(control)45秒倒计时计数器(m45)7字段译码器(SEG7)组成


1) 控制器设计
控制器逻辑符号图示中CLK时钟输入信号HOLD紧急制动信号AREDAGREENAYELLOW分东西方红灯绿灯黄灯指示输出信号BREDBGREENBYELLOW分南北方红灯绿灯黄灯指示输出信号
控制器VHDL描述文件controlvhd:
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall

entity control is
port(clkholdin std_logic
aredagreenayellowbredbgreenbyellowout std_logic)
end control

architecture behavior of control is
type state_type is (s0s1s2s3s4)
signal current_statenext_statestate_type
signal counter std_logic_vector(6 downto 0)

begin
synchprocess
begin
wait until clk'event and clk'1'
if hold'0' then 紧急制动信号效时计数器停止计数
counter else
if counter<89 then
counter else
counter<(others>'0')
end if
end if
end process

process 机状态
begin
wait until clk'event and clk'1'
current_state< next_state
end process

state_transprocess(current_state)
begin
case current_state is
when s0>
if hold'0' then
next_state else
if counter<39 then
next_state else
next_state end if
end if
when s1>
if hold'0' then
next_state else
if counter<44 then
next_state else
next_state end if
end if
when s2>
if hold'0' then
next_state else
if counter<84 then
next_state else
next_state end if
end if
when s3
>
if hold'0' then
next_state else
if counter<89 then
next_state else
next_state end if
end if
when s4>
if hold'0' then
next_state else
if counter<39 then
next_state elsif counter<44 then
next_state elsif counter<84 then
next_state elsif counter<89 then
next_state end if
end if
end case
end process

outputprocess(current_state) 种状态两路口红绿灯状态描述
begin
case current_state is
when s0>
ared<'0'
agreen<'1'
ayellow<'0'
bred<'1'
bgreen<'0'
byellow<'0'
when s1>
ared<'0'
agreen<'0'
ayellow<'1'
bred<'1'
bgreen<'0'
byellow<'0'
when s2>
ared<'1'
agreen<'0'
ayellow<'0'
bred<'0'
bgreen<'1'
byellow<'0'
when s3>
ared<'1'
agreen<'0'
ayellow<'0'
bred<'0'
bgreen<'0'
byellow<'1'
when s4>
ared<'1'
agreen<'0'
ayellow<'0'
bred<'1'
bgreen<'0'
byellow<'0'
end case
end process
end behavior

2) 倒计时计数器M45设计
倒计时计数器M45逻辑符号图中CLKENCR分时钟计数清零端QL[30]QH[30]OC分BCD码位十位进位输出
VHDL描述文件m45vhd:
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity m45 is
port(
CLK in std_logic
EN in std_logic
CR in std_logic
QLQH out std_logic_vector(3 downto 0)
OC out std_logic
)
end m45

architecture behave of m45 is
signal couLcouHstd_logic_vector(3 downto 0)
begin
process(CRCLKEN)
begin
if CR'0' then 异步清零
couL<0000
couH<0000

elsif clk'event and clk'1' then
if EN'1' then
if( couL0 and couH0) then 减法计00重新置数44
couL<0100
couH<0100
elsif couL0 then 否位计0时置9十位减1
couL<1001
couH else
couL end if
end if
end if
end process

process(couLcouH)
begin
if(couL0 and couH0)then
OC<'1' 减00时位输出
else
OC<'0'
end if
end process
QL QHend behave

3) 7字段译码器电路设计
7字段译码器功8421BCD码译成7信号启动7段数码显示相应十进制数码逻辑符号图dat[30]8421BCD码输入abcdefg驱动数码显示7输出信号(低电效)
VHDL描述文件seg7vhd:
library ieee
use ieeestd_logic_1164all

entity seg7 is
port( dat in std_logic_vector(3 downto 0)
abcdefg out std_logic )
end seg7

architecture arc of seg7 is
signal tmp std_logic_vector(6 downto 0)
begin
process(dat)
begin
case dat is
when 0000>tmp<0000001 输入0000时显示0
when 0001>tmp<1001111 输入00001时显示1
when 0010>tmp<0010010
when 0011>tmp<0000110
when 0100>tmp<1001100
when 0101>tmp<0100100
when 0110>tmp<0100000
when 0111>tmp<0001111
when 1000>tmp<0000000
when 1001>tmp<0000100 显示9
when 1010>tmp<0001000 显示A
when 1011>tmp<1100000
when 1100>tmp<0110001
when 1101>tmp<1000010
when 1110>tmp<0110000
when 1111>tmp<0111000
end case
end process
abcdefgend arc

4) 50MHz分频器设计
逻辑符号图目输入信号转化1HZ输出clk信号输入端clk_out信号输出端
VHDL描述文件devide50Mvhd:
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity devide50M is
port(
clk in std_logic
clk_out out std_logic
)
end devide50m

architecture arc_devide50M of devide50M is
signal count std_logic_vector (25 downto 0)
begin
process
begin
wait until clk'event and clk'1'
if (count<50000000) then
count clk_out<'0'
else
count<(others >'0')
clk_out<'1'
end if
end process
end architecture arc_devide50M

c 脚设计
Cyclone III_EP3C16F484C6型号电路板脚连接:

Cyclone III_EP3C16F484C6型号电路板

脚连接图

五 实验结果

波形仿真结果

六 实验心
通次设计进步加深VHDL语言解更加浓厚兴趣文件编写程中遇少问题包括开始手元件间连接引脚设定终克服困难建议课程中机操作课程理教学相结合样许够更帮助学EDA
文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

基于plc的智能交通灯控制系统设计毕业论文

PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。

知***享 4年前 上传3093   0

基于89C51的交通灯控制系统设计

自从1858年英国人发明了原始的机械扳手交通灯之后,随后的一百多年里,交通灯改变了交通路况,也在人们日常生活中占据了重要地位,随着人们社会活动日益增加,经济发展,汽车数量急剧增加,城市道路日渐拥挤,交通灯更加显示出了它的功能,使得交通得到有效管制,对于交通疏导,提高道路导通能力,减少交通事故有显著的效果。

文***享 5年前 上传1322   0

EDA实验报告实验三、序列检测器的设计

实验三、序列检测器的设计一、预习内容1、预习序列发生器和检测器的基本工作原理;2、画出实验原理草图;3、写出实验的基本步骤和源程序。二、实验目的1、掌握序列检测器的工作原理;2、学会用状态机进行数字系统设计。三、实验器材PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干四、 实验要求1、 检测连续4个‘1’的状态机,画出状态转移图,并写出VHD代码;(必做

文***享 7个月前 上传224   0

十字路口交通灯的PLC控制系统设计

 毕业论文(电工教研室) 题目:十字路口交通灯的PLC控制系统设计 专 业: 应用电子技术 班 级: 级应用电子班 设 计 人: 学 号: 指导教师:

文***品 3年前 上传600   0

集成电路EDA技术

本文简述了集成电路的传统设计方法和现代设计方法,并对两者的区别进行了比较,以实例为基础介绍了集成电路的设计过程。对可编程逻辑器件的定义、分类、功能等进行详尽的阐述,介绍了可编程逻辑器件的设计过程,以可编程逻辑器件软件设计平台QuartusII为例,介绍了可编程逻辑器件软件设计平台的功能及使用方法,并对其他种类软件平台作出比较。对国内外集成电路设计公司作简要介绍,分析了PLD和FPGA芯片上的文字信息。

4年前 上传1426   0

单片机交通灯设计

摘 要 根据STC10F04单片机的特点及交通灯在实际控制中的特点,本文提出一种用单片机自动控制交通灯及时间显示的方法。同时给出了软硬件设计方法,设计过程包括硬件电路设计和程序设计两大步骤,对在单片机应用中可能遇到的重要设计问题都有涉足。本文对十字路口状态预设为三种,一种是正常状态,一种是紧急状态,另一种是方程式状态。增设路段遇忙调整时方程式控制状态和紧急情况处理模块,通过手动控制开关按钮A

山***1 5年前 上传1731   0

基于VHDL语言的交通灯设计

 基于VHDL语言的 交通灯设计 专业班级: 应电班 作 者: 指导老师: 完成时间: 电子信息工程系 应用电子技术专业 一、 设计要求及实现功能 1、设计相关要求 (1)留意自己走过的交通灯指示路口,选取一个进行数据采集,并模拟

文***享 5年前 上传1249   0

PLC交通灯毕业论文

 毕业论文(设计) 课题名称 PLC交通控制灯设计 专业及班级 机械制造与自动化 学号 学 生 姓 名 指导老师 20XX年 X月 X 日 目 录 PLC交通灯毕业论文 3 摘要 3 第一章 PLC的特点及应用 4 1.1 概述 4 1.2 PLC的特点 5 1.3 PLC的应用 5

文***品 5年前 上传2161   0

EDA课程设计时钟

EDA课程设计姓名: 学号:班级:自动化设计题目多功能数字钟电路设计设计任务及要求多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。在

文***享 3年前 上传760   0

EDA作业2-LED彩灯

EDA作业二--LED彩灯一、实验任务让8个LED实现彩灯的功能,并进行波形仿真。通过这个实验,进一步掌握采用计数与判断的方式来实现分频的VHDL的编程方法以及移位运算符的使用。二、实验环境软件实验环境为Quartus II 8.1开发软件。三、实验原理彩灯,就是让LED以一定的规律显示不同的亮灭的组合。本彩灯的设计采用了4种的亮灭方式。每来一个时钟脉冲CLK,计数器就加1。每当判断

文***品 11个月前 上传252   0

EDA与数字系统课程设计

 课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级): 自动化2010级 姓 名(学 号): 起 讫 日

文***享 3年前 上传556   0

PLC交通灯设计教案生活中的交通灯人生中的红绿灯

《生活中的交通灯 人生中的红绿灯》专业年级: 机电技术应用专业二年级授课教师: 授课班级: 班教材:高教版《PLC技术及应用》 姜治臻主编 班级人数: 36人内容PLC交通灯设计课型新授课时160分钟(4课时)教 学 目 标知识能力目标掌握用PLC交通灯系统的调试安装过程方

文***享 3年前 上传776   0

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

实验1 4选1数据选择器的设计一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。二、实验仪器与器材 1.EDA开发软件 一套 2.微机 一台 3.实验

文***享 7个月前 上传165   0

开题报告-基于单片机的交通灯设计

开题报告电气工程及自动化基于单片机的交通灯设计一、综述本课题国内外研究动态,说明选题的依据和意义当今社会,随着日益增长的社会发展,人们对于社活的水平也日益提高,不仅在物质享受方面有所提高,在精神享受方面也逐渐增长。最明显的就是汽车的增长。现在几乎每户人家都有一辆汽车,以至于道路经常堵塞,频繁出现交通事故。由于这种状况的出现,这就要求能有效的管理交通,其中十字路口的交通灯起着巨大的作用。因

毕***阁 4年前 上传1247   0

基于plc的交通灯的设计课程设计

任务说明书一、 控制要求:1、系统控制示意图由十字路口交通灯示意图可以知道,在南北和东西主干道上各有一盏红绿灯;在南北和东西人行道上各有一盏红灯和绿灯。2、控制要求 启动及停止按钮的控制,当按下启动按钮时,信号灯系统开始工作,并且周而复始的开始工作,当按下停止按钮时信号灯停止工作,且信号灯的状态回复到初始状态。

文***品 3年前 上传880   0

EDA自动电子钟 数字时钟

燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目自动电子钟设计技术参数●用24小时制进行时间显示●能够显示小时,分钟●上电后从“00:00:00”开始显示设计要求●采用6个静态数码管显示时间工作量●学会使用Max+PlusII软件和实验

静***雅 2年前 上传448   0

化学实验报告

化学实验报告  化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用

静***开 11年前 上传1030   0

教育实验报告

教育实验报告  教育实验报告  对某种教育现象实验后,要对整个实验过程进行全面总结,提出一个客观的、概括的、能反映全过程及其结果的书面材料,即谓教育实验报告。教育实验报告可分为三部分:①前言。②实验过程和结果。③讨论及结论。实验报告的基本结构:  (1)题目。应以简练、概括、明确的语句反映出教育的对象、领域、方法和问题,使读者一目了然,判断出有无阅读价值。  (2)单位、作者。应

松***布 12年前 上传740   0

**学院实验报告

实验内容:使用金融数据库查找宏观经济及行业相关数据,使用Excel进行作图分析。根据所得数据以及图形分析相关问题。实验目的:掌握金融数据库软件的相关使用,操作,快捷查找数据,能够制作美观图形,对于数据有效分析。

e***3 3年前 上传672   0

电路实验报告

实验一 元件特性的示波测量法一、实验目的1、学习用示波器测量正弦信号的相位差。2、学习用示波器测量电压、电流、磁链、电荷等电路的基本变量3、掌握元件特性的示波测量法,加深对元件特性的理解。二、实验任务1、 用直接测量法和李萨如图形法测量移相器的相移即实验原理图如图 5-6示。

小***库 3年前 上传2938   0

上机实验报告

上机实验报告  一.     题目1.  建立一个学生档案,内容包括学号,姓名,年龄,性别,数学,物理和英语3门功课成绩。要求实现以下功能:1)        数据输入;2)        查询某个学生的成绩;3)        按平均排列输出;4)        统计某门课各分数段人数;5)        删除某个学生记录;2.  编程实现对二位数进行加,减,乘运算,每运行一次程序做10道

l***2 8年前 上传860   0

oracle实验报告

《Oracle数据库设计与 实现》 总结报告 成绩:-------------- 学号:-------------- 姓名:---------------- 班级:----

文***品 2年前 上传500   0

生物实验报告

生物实验报告  实验   生物组织中还原糖、脂肪、蛋白质的鉴定  一、实验目的  初步掌握鉴定生物组织中还原糖、脂肪、蛋白质的基本方法。  二、实验原理  1.还原糖的鉴定原理  生物组织中普遍存在的还原糖种类较多,常见的有葡萄糖、果糖、麦芽糖。它们的分子内都含有还原性基团(游离醛基或游离酮基),因此叫做还原糖。蔗糖的分子内没有游离的半缩醛羟基,因此叫做非还原性糖,不具有还原

t***0 9年前 上传822   0

CADCAM实验报告

 二叉树的遍历实验一、实验名称:二叉树的遍历实验。二、实验目的:1、掌握CAD/CAM系统中常用的数据结构二叉树的概念、存储结构以及二叉树遍历技术。2、熟练应用C语言编写二叉树的建立,以及用先序、中序、后序遍历方法遍历二叉树的程序。三、实验内容用TC或VC编程,将图2-14所示的二叉树建立链表存储结构,并分别用先序、中序、后序遍历方法遍历该二叉树α1、程序流程图2

知***享 4年前 上传941   0

爱情实验报告

爱情实验报告  爱情实验报告   这学期要做一周的模块实验,我和欣儿分在一组,欣儿是班里的学习委员,负责收缴每天实验后大家必写的实验报告。    欣儿长的很美,碰到人总是浅浅地笑,不象有些女生长得不怎么样却整天想着让人恭维,欣儿每天早早地来,发放实验用品最后一个走。清扫实验垃圾,我当然不遗余力地帮忙欣儿总是冲我一笑道声:“谢谢”,有几次我说道:“欣儿我……”我始终没有勇气把心思表达出

s***e 12年前 上传745   0