| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

基于QuartusII的出租车计费系统

文***品

贡献于2021-06-25

字数:6847

基QuartusII出租车计费系统
目 录
目 录 I
1引言 1
2 Quartus II介绍 1
3出租车计价器设计 2
出租车计价器设计原理 3
模块功模块设计 3
321分频模块 3
322计量模块 4
323控制模块 4
324计费模块 4
325译码显示模块 5
326顶层模块 5
硬件仿真 6
4总结 6
参考文献 7
附录 8

1引言
设计简易出租车计费系统实现计价功计费标准行程里程收费起步价元里程3公里时起价计费车行超3公里元公里收费等累积时间超2min分钟元计费模拟汽车启动停止暂停等状态LED数码实时显示车费汽车行驶里程两位数字显示汽车行驶里程显示方式XX单位km计程范围0—99km计程分辨率1km五位数字显示总费显示方式单价元计价范围0—元计价分辨率元计费器设司机控制整体复位控制
设计采VHDL硬件描述语言作设计手段采顶设计思路种出租车计价系统软件结构通QuartusⅡ软件进行仿真证明设计电路系统完成出租车计价功项指标符合设计求 次课程设计熟练掌握课理知识课程设计学新知识巩固加深学课理知识程培养综合运知识力独立思考解决问题力加深VHDL数字电路设计教程理解
















2 Quartus II介绍
Quartus II Altera公司综合性PLD开发软件支持原理图VHDLVerilogHDLAHDL(Altera Hardware Description Language)等种设计输入形式嵌综合器仿真器完成设计输入硬件配置完整PLD设计流程
Quartus IIXPLinuxUnixTcl脚完成设计流程外提供完善户图形界面设计方式具运行速度快界面统功集中易学易等特点
Quartus II支持AlteraIP核包含LPMMegaFunction宏功模块库户充分利成熟模块简化设计复杂性加快设计速度第三方EDA工具良支持户设计流程阶段熟悉第三方EDA工具
外Quartus II 通DSP Builder工具MatlabSimulink相结合方便实现种DSP应系统支持Altera片编程系统(SOPC)开发集系统级设计嵌入式软件开发编程逻辑设计体种综合性开发台 Altera Quartus II 作种编程逻辑设计环境 强设计力直观易接口越越受数字系统设计者欢迎
AlteraQuartus II编程逻辑软件属第四代PLD开发台该台支持工作组环境设计求中包括支持基Internet协作设计Quartus台CadenceExemplarLogicMentorGraphicsSynopsysSynplicity等EDA供应商开发工具相兼容改进软件LogicLock模块设计功增添 FastFit编译选项推进网络编辑性提升调试力支持MAX7000MAX3000等积项器件










3出租车计价器设计
设计种采FPGA芯片进行出租车计费器分分频模块计量模块计费模块控制模块等模块利FPGA编程性简洁变设计方法缩短研发周期采FPGA芯片VHDL语言进行编程具更强移植性更加利产品升级
出租车计价器设计原理
根设计求系统输入信号clk计价开始信号Start等信号Stop里程脉信号fin系统输出信号:总费数cha0 ~cha3行驶距离km0 ~km1等时间min0 ~min1 等系统两脉输入信号clk_120fin中clk_120根设计求分频成12Hz15Hz1Hz分作公里计费超时计费脉两控制输入开关startstop控制程:start作计费开始开关start高电时系统开始根输入情况计费客车开始行驶时fin脉进行行驶计费时stop需置0需停车等stop变高电fin输入脉进行等计费客车等时stopfin时置0读取终行驶路程数等时间总费直接start置0系统停止工作价格开始起步价元
整设计分频模块计量模块计费模块控制模块等四部分组成
中计量模块整系统实现里程计数时间计数重部分控制模块实现计费方式选择部分根设计端选择根里程计费根等时间计费时设计通分频模块产生频率脉信号实现系统计费计量模块采1Hz驱动信号计费模块采12Hz15Hz驱动信号计量模块计数次计费模块实现12次者15次计数实现计时 元min计程时元km收费组成框图图31示:

图31 系统结构框图
模块功模块设计
321分频模块
试验箱没12Hz15Hz整数倍时钟信号采频率较750khz进行分频似12Hz15Hz1Hz时钟频率设计中通三种频率脉信号实现计程车行驶等两种情况计费分频模块元件图32示:










图32 分频模块元件图
322计量模块
计量模块完成计时计程功
计时部分:计算客等累积时间等时间2min时模块中en1信号变1clk1升计时器增1计时器量程59min满量程动零
计程部分:计算客行驶公里数行驶里程3km时模块中en0信号变1clk1升计程器增1计程器量程99km满量程动零
Start置1程序始终处计费状态fin脉时k0k1进入计程状态k0次满9k1进位超3km时en0变高电理stop0置1时开始计程进入等状态fin脉0里程计数停止等时间开始计时m0次满9m1进位超2min时en1变高电
323控制模块
模块通计量模块产生两输入信号en0en1两分频模块输出12Hz15Hz脉进行选择输出程模块实现双脉二选终目计费模块中行驶程中时段进行计价
324计费模块
计费信号Start直处高电计费状态时模块根控制模块选择出信号单价时段进行计费行程3km等累积时间2min起步价6元3km外公里元计费等时间超2min分钟元计费c0c1c2c3分表示费显示图知处计费状态时着clk2高电计费起步价6元c0满9c1进位c1满9c2产生进位次逐级进位完成计费功
325译码显示模块
译码显示模块完成计价计时计程数显示计费数送入译码显示模块进行译码送百元十元元角单位应数码显示计时数送入译码显示模块进行译码送分单位应数码显示计程数送入译码显示模块进行译码送km单位数码显示次课程设计实验箱带数码译码显示电路需写译码程序选定模式直接脚锁定数码实现
326顶层模块
模块图形输入法实现出租车计费器系统设计模块组合起
程序终功实现仿真波形图33示











图33 程序终仿真波形图
图中出fin脉信号时实现行驶计费行驶里程超三公里公里元计费stop高电进入等计时收费等时间超2min时分钟加元实现等分钟收费元stop电时数值清零显示初始值6元次仿真中等时间9分钟行驶里程20km应收取费值元
结果验证:出租车起步价6元收费6+(203)*+(92)*元仿真结果计算结果相仿真结果正确
硬件仿真
QuartusII软件中仿真成功现载试验箱验证功实验中采万模式模式五程序中端口锁定试验箱应脚载验证数码显示计时计程计费数图34示:

图34 硬件仿真结果
知等时间4分钟行驶公里数4公里根设计求计算费:6元+(4km3km)*元km+(4min2min)*元min6++3元试验箱结果相仿真载成功















4总结
通期1周课程设计出租车计价器系统设计已基完成预期效果模拟汽车启动等停止复位等功显示车费数目等时间行驶路程出租车计费系统设计中体现VHDL覆盖面广描述力强层次硬件描述语言CPLD器件速度快方便便修改等特点设计实方面具定价值
通断编写尝试遇问题讨问题解决问题VHDL数字电路设计教程门学科更系统认识次课程设计中熟练掌握VHDL语言中行语句序语句应区联系根解信号变量间区熟练掌握应限状态机元件例化方法锻炼独立思考力培养敢创新思想:学会团结学互帮互助讨中提炼真知
次设计中存足改进方目前点
该设计然实现基计费计程问题没解决设计实种车速计费实现出租车档位计程计费需进步讨
二该设计智化水较低启动等复位等信号需输入实际中出现操作偏差会导致计费准确
通次课程设计更进步深入解VHDL设计语言程中更深体会编程程中遇问题定解解决方法理学编程练硬件测试方面获较收获进行程序设计帮助
次带领次课程设计老师说声:谢谢









参考文献
[1] Voknei VHDL数字电路设计教程电子工业出版社
[2] 潘松黄继业EDA技术实教程(第二版)科学出版社
[3] 孟庆海张洲VHDL基础典实例开发西安交通学出版社
[4] 刘江海EDA技术课程设计华中科技学出版社
[5] 蒋燕余伟钧张立臣 EDA技术VHDL
[6] 焦素敏EDA应技术清华学出版社

















附录
LIBRARY IEEE
USE chuzuchejifeiqi is 出租车计费器整体设计模块
port ( clk_120in std_logic 系统时钟
clk_12buffer std_logic 12分频
clk_15buffer std_logic 15分频
clk_1buffer std_logic 1分频
startin std_logic 计费开始信号
finin std_logic 里程脉信号
stopin std_logic 行驶中中途等信号
en1en0buffer std_logic 计费单价信号
k1k0buffer std_logic_vector(3 downto 0) 行驶公里计数
m1m0buffer std_logic_vector(3 downto 0) 等时间计数
clk_outbuffer std_logic 计程等时间选择输出信号
c0c1c2c3buffer std_logic_vector(3 downto 0)) 输出显示出租车总费
end chuzuchejifeiqi
architecture chuzuchejifeiqi of chuzuchejifeiqi is
signal q_12integer range 0 to 9 定义中间信号
signal q_15integer range 0 to 7
signal q_1integer range 0 to 119
signal winteger range 0 to 59 计时范围0~59
begin
process(clk_120)
begin
if (clk_120'event and clk_120'1' )then 分频模块
if (q_124) then q_12<0clk_12else q_12 end if 12hz频率信号
if (q_153) then q_15<0clk_15else q_15 end if 15hz频率信号
if (q_159) then q_1<0clk_1else q_1 end if 1hz频率信号
end if
end process
process(clk_1) 计量模块
begin
if (clk_1'event and clk_1'1') then
if (start'0') then
w<0en1<'0'en0<'0'm1<0000m0<0000k1<0000k0<0000
elsif stop'1' then 计时开始信号
if (w59) then w<0 计时范围059分
else wend if
if (m01001) then m0<0000
if (m10101) then m1<0000
else m1end if
else m0end if
if stop'1' then en0<'0' 车停止行驶开始记等时间
if m1&m0>00000010then en1<'1' 等时间2minen1置1
else en1<'0'
end if
end if
elsif fin'1' then 里程计数开始
if (k01001) then k0<0000
if (k11001) then k1<0000 计程范围0~99
else k1end if
else k0end if
if (stop'0') then en1<'0'
if (k1&k0>00000011) then en0<'1' 行驶里程3kmen0置1
else en0<'0'
end if
end if
end if
end if
if (stop'0' and fin'0')then
en0<'0'en1<'0'
end if
end process
process(en0en1) 控制模块设计
begin
if (en0'1') then 计时计程方式选择
clk_out elsif en1'1' then
clk_out else clk_out< null
end if
end process
process(clk_outstart) 计费模块设计
begin
if start'0' then c3<0000c2<0000c1<0110c0<0000 起步价6元
elsif (clk_out'event and clk_out'1') then 输出脉出现升计费累计
if (c01001) then c0<0000
if (c11001) then c1<0000
if (c21001) then c2<0000
if (c31001) then c3<0000 计价范围0~元
else c3end if
else c2end if
else c1end if
else c0end if
end if
end process
end chuzuchejifeiqi


文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

北电网络基于内容计费的解决方案-计费系统解决方案

北电网络基于内容计费的解决方案-计费系统解决方案  伦敦-移动网络服务供应商T-MobileInternational宣布将部署北电网络的智能分组核心网络解决方案。  根据今天宣布的协议,北电网络将部署一项解决方案以帮助T-Mobile为用户提供灵活的计费选择,包括基于用户下载的内容、下载的时长或者下载的数据量进行计费。这些功能为向后付费和预付费用户提供基于内容的服务带来了新的商机。

x***e 9年前 上传575   0

出租车自动计费系统课程设计

课 程 设 计课 程 EDA技术课程设计 题 目 出租车自动计费系统 院 系 电子科学学院 专业班级 电子信息工程 学生姓名 学生学号

文***品 3年前 上传454   0

论文:出租车计费器

本次课设我们采用可编程逻辑器件(FPGA/Verilog)进行对出租车计费器的设计。本设计实现了出租车计费器所需的一些基本功能,计费金额包括起步价、里程计费等。该设计采用模块化设计,在VIVADO2015.4软件平台下,采用Verilog HDL 硬件描述语言描述和模拟仿真了分频模块、计程模块、计费模块,译码显示模块,完成了出租车计费器仿真设计实现。

飞***檬 5年前 上传1746   0

南通广电采用城市热点宽带计费系统-计费系统解决方案

南通广电采用城市热点宽带计费系统-计费系统解决方案  日前,南通广电采用了城市热点有线宽带网络计费产品以满足个人宽带“有线通”业务的计费系统建设。截止目前,南通广电网络中心采用城市热点计费系统提供了包月、包时、期限计费等多种资费套餐,在全市成功发展了2万余“有线通”用户。  南通广电网络状况  南通广电目前的IP网络拓扑如下图所示,现有电视塔总前端机房1个;分机房共有4个,每

w***6 10年前 上传541   0

连锁网吧到底需要怎样计费系统管理-计费系统解决方案

连锁网吧到底需要怎样计费系统管理-计费系统解决方案  网吧牌照经过长时间的禁止审批和发送之后,终于在2009年解禁,全国各地政府均逐渐开放网吧政策,支持连锁网吧业的发展。以深圳地区为例,允许实际新增网吧2552家,且全部用于连锁网吧,新增总量占到整个广东省新增总量的42.88%。不难看出,对于连锁网吧,政府是大力扶持的。同样,辽宁省也出台了新的扶持连锁网吧政策,将停止审批单体网吧,侧重发展连

w***y 10年前 上传611   0

基于旁路式宽带网络流量计费解决方案-计费系统解决方案

基于旁路式宽带网络流量计费解决方案-计费系统解决方案  1.背景  在“科教兴国”的政策下,高校的信息化建设一直为国家关注的重点。从2002年后,高校校园网建设开始延伸到宿舍楼,但随着高校宿舍网规模急剧膨胀、应用不断深入,在网络应用中存在的一些矛盾和问题日益凸现,严重影响着宿舍网络应用的进一步深化和发展。  2.需求及矛盾  在新形势下,过去的以服务办公区为主的方式逐

夏***超 11年前 上传513   0

蓝信认证计费系统成功应用东陵广电网络-计费系统解决方案

蓝信认证计费系统成功应用东陵广电网络-计费系统解决方案  互联网的普及与应用,不但推动了社会的信息化进程,也使人们的生活方式发生着重大的变化。这也使得运营商间争夺用户越来趋于激烈,宽带服务愈加丰富化,而各种网上交易、网上远程教学、网上购物、网上浏览、网上视频点播逐渐成为一种新的生活时尚。在这样的背景下,东陵广电网络逐步发展扩建,在扩建过程中出现了以下一些需求:  需求特点: 

看***说 9年前 上传610   0

中国联通CDMA计费系统工程的Sybase解决方案-计费系统解决方案

中国联通CDMA计费系统工程的Sybase解决方案-计费系统解决方案  一、计费系统技术背景  中国联通主要经营长话、市话、GSM数字移动电话和CDMA移动电话等业务,目前拥有众多用户。随着公司业务的发展,数据量也在飞速增长,为满足其计费核算和管理的要求,建设中国联通CDMA计费子系统成为当务之急。CDMA计费工程覆盖的中国联通集团公司业务包括现有的各种业务,未来发展的新业务,以及各

y***0 9年前 上传673   0

广州从兴融合计费解决方案-计费系统解决方案

广州从兴融合计费解决方案-计费系统解决方案  作 者:广州从兴电子开发有限公司电信行业售前经理 蒋俊杰  融合计费是计费发展的必然趋势  3G、IMS等新的网络在全球各地越来越广泛地部署,电信运营商的业务支撑系统也处于不断更新变化之中。由于传统技术的限制和业务的发展,导致了很多电信运营商将用户简单地划分为预付费和后付费用户,并分别由两套独立系统进行支撑。随着技术的进步、捆绑业

j***8 11年前 上传585   0

清华网络 Oracle计费数据库应用案例-计费系统解决方案

清华网络 Oracle计费数据库应用案例-计费系统解决方案  综 述  清华大学校园网于1992年建成使用,初期主要是用于办公和实验室使用,1994年6月伴随清华大学信息网络工程研究中心(简称网络中心)的成立,清华校园网得以快速发展。网络中心负责规划设计、建设、运行和管理中国教育和科研计算机网(简称CERNET)主干网、CERNET华北地区网和清华大学校园网。同时,围绕计算机互联网和

t***q 12年前 上传688   0

基于通信的系统的影响

基于通信的系统的影响如上文所述,信息服务的趋势正朝着分散和分布式数据处理(DDP)方向发展。分散的信息服务工作并不意味着数据通信,但是多数是基于通信的。依照定义,所有分布式数据处理(DDP)系统都是基于通信的。对于所有实际应用而言。分布式数据处理和分散的信息服务是可以交换使用的。那些跟随着脱离集中式成批处理系统这一趋势的公司应该了解基于通信的技术和系统将对公司的组织结构、人员、管理、预算

l***3 12年前 上传809   0

IP电话计费营业系统规范书

 IP电话计费营业系统 工程技术规范书 中国邮电电信总局 一九九九年十二月 目 录 一、概述 1 1.1 定义 1 1.2 计划 1 1.3 建议书内容 1 1.4 标准和性能 2 1.5 卖方供货和服务范围 2 1.6 2000年问题 3 1.7 工程进度

p***t 9年前 上传21519   0

Dr.COM计费认证助社科院信息化建设-计费系统解决方案

Dr.COM计费认证助社科院信息化建设-计费系统解决方案  近日城市热点与中国社会科学院达成网络建设的合作。中国社会科学院采用了Dr.COM校园网用户认证计费管理系统来进行网络建设。城市热点与中国社会科学院的此次合作奠定了城市热点的校园网计费管理系统产品在政府网络应用方面的稳固地位和城市热点作为业内领头军的知名度。  中国社会科学院含工业经济研究所、农村发展研究所、财贸经济研究所、新

g***6 12年前 上传792   0

城市热点Dr.COM 奥运酒店计费的保障-计费系统解决方案

城市热点Dr.COM 奥运酒店计费的保障-计费系统解决方案  越来越多的酒店意识到"信息化"是未来发展的重要力量,信息化实际意味着"给酒店集团化插上信息的翅膀"、"用无限的虚拟时空补偿有限的物理时空"。酒店宽带网是“信息化”的基础承载网,而“宽带上网”是当前信息化的主要应用。   “数字化酒店”是此次“科技奥运”的一个亮点,在奥运数字酒店中,客人可以享受到高速的上网冲浪、VOIP、V

h***e 12年前 上传572   0

惠普电信企业计费(BILLING)解决方案-计费系统解决方案

惠普电信企业计费(BILLING)解决方案-计费系统解决方案  电信企业计费(Billing)系统是电信支撑系统BSS/OSS 的核心。随着电信业的不断发展,现有的计费系统越来越不能满足市场的需要。如何能提出一套满足不断发展的业务需要、同时又能符合技术发展趋势的Billing 系统是电信企业目前面临的主要挑战。Billing 主要是解决如何计费和如何对业务进行支撑的问题。从就其功能来看,Bi

9年前 上传558   0

Convergys 增强型实时计费解决方案-计费系统解决方案

Convergys 增强型实时计费解决方案-计费系统解决方案  中国,北京(2009年3月24日)关系管理领域Convergys公司(纽约证券交易所股票交易代码:CVG)增强实时融合计费解决方案功能,改善通信服务提供商在向其用户提供实时服务的过程中所需的可扩展性、可靠性和将产品快速投放市场的能力。  目前全球有许多运营商都在使用Convergys的实时融合计费解决方案,这一解决方案使

a***n 10年前 上传453   0

城市热点写字楼宽带运营计费解决方案-计费系统解决方案

城市热点写字楼宽带运营计费解决方案-计费系统解决方案  随着信息与通信技术的发展,建筑物内信息的采集、交换、传输、处理和共享也向网络化、智能化、集成化方向发展。办公楼作为信息高速公路的主要节点,其智能化程度越来越高。而计算机网络是办公楼信息传输的“神经中枢”,在智能化系统中占有重要地位。  网络化办公无处不在,无论公司规模的大小,从事何种产品经营,都无法脱离网络办公,宽带接入对于公司

x***1 11年前 上传497   0

康维发布Comverse ONETM 计费解决方案-计费系统解决方案

康维发布Comverse ONETM 计费解决方案-计费系统解决方案  2008年6月2日,中国北京――基于网络的增强型多媒体通信服务及计费服务软件和系统的全球领先提供商康维公司今天宣布, 推出Comverse ONETM 计费解决方案和主动客户管理解决方案――行业内唯一的基于一个单一的数据模块和一个单一的产品序列之下的客户服务和计费解决方案,实现了仅通过一个系统,同时对多重服务和集中付费进

瓜***仁 11年前 上传366   0

Dr.COM校园计费方案进天津科技大学-计费系统解决方案

Dr.COM校园计费方案进天津科技大学-计费系统解决方案  为了加快教育信息化建设,提高学校的教学效率和学生的网络应用水平,天津科技大学对全校的校园网进行了改造工程。日前,城市热点凭借在业内的良好口碑,成功在多家厂商中脱颖而出,与天津科技大学达成了新老系统切换的合作,协助天津科技大学完成校园网的升级改造工程。  天津科技大学始建于 1958年(前身为天津轻工业学院),是教育部与天津市

3***1 9年前 上传570   0

Dr.COM计费管理入驻济南喜来登酒店-计费系统解决方案

Dr.COM计费管理入驻济南喜来登酒店-计费系统解决方案  随着互联网的发展,如何为酒店用户提供上网的便利,提升酒店的服务素质以便增加新的收入来源,是所有酒店都应该考虑到的问题。如今酒店的宽带网络已经从早期的简单接入、免费使用过渡到更系统化更具备服务内涵的统一管理和增值平台,这赋予“酒店数字化”更具体的内容和涵义。  北京城市热点软件公司(以下简称城市热点)自2001年成功地为举办上

n***u 9年前 上传386   0

基于Linux的广告机系统

基于Linux的广告机系统基于Linux的广告机系统摘 要一般来说,广告系统,被称为广告系统是在网络信息技术时代的飞速发展中产生的新类型的网络多媒体信息发布系统。在这个系统的基础上,用户可以发布公开显示终端上公开的信息内容以各种不同的方式。目前,数字化,网络化,信息化的多媒体网络广告机,但它已成为一大亮点,在媒体市场中,广告机的单机版和单一媒体发布的形式,可满足日益增

平***苏 3年前 上传764   0

eda课程设计vhdl语言的简易出租车计费器设计

XX 学 院《EDA技术》课 程 设 计 题 目 简易出租车计费器设计 系 (部) 信息工程系 班 级 电本班 姓 名 学 号 指导教师

文***享 3年前 上传570   0

国土资源航遥中心应用城市热点系统-计费系统解决方案

国土资源航遥中心应用城市热点系统-计费系统解决方案  近日,中国国土资源航空物探遥感中心利用城市热点计费管理设备对网络进行了升级改造。在信息化建设的大潮中,许多政府单位都增加信息化建设投资,不断开发新的信息化建设项目,使其更加系统化,更贴近行业的生产、管理和决策需要。城市热点作为计费领域的厂商,目前在广电、高校等领域的用户已超过1000多家,成为了宽带计费第一品牌。  中国国土资源航

a***8 8年前 上传514   0

基于安卓系统的点餐系统毕业设计

 本科毕业论文(设计) 题 目: 基于安卓系统的点餐系统 专 业: 姓 名: 指导教师: 职 称: 答辩日期: 基于安卓系统的点餐系统 摘 要 现如今我们生活在一个信息化的时代,信息数据随处可见。特别是无线数据传输

z***u 5年前 上传1754   0

基于SpringBoot博客系统的设计与实现

 基于SpringBoot博客系统的设计与实现Design and implementation of blog system based on SpringBoot中文摘要互联网随着社会的进步也渐渐得到普及,给人们带来很多便利,可以提供更多的服务,在互联网还没有发展起来之前,人们需要购买纸质报

平***苏 3年前 上传1029   0