| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

基于STM32的点菜机

平***苏

贡献于2021-11-08

字数:18427




基STM32点菜机




点菜机酒店服务员手持纸化点菜采STM32F103RBT6芯片款基CortexM3核芯片显示器采20 寸TFTLCD屏分辨率220*176显示屏功实现利SPI协议通定时器具输出PWM波功利该功调节显示器亮度芯片带12位AD转换监控电池电量达电量显示功总18键方键数字键数字键九宫格形式排列段时间操作点菜机时动息屏进入省电模式段时间长短户设置通信方面采串口线通信技术更新菜谱发送已点菜单餐台号催菜接收服务器发送短信等显示汉字汉字库存储flash芯片W25Q64该芯片SPI接口
点菜机应现代餐饮企业较影响企业节省力物力点菜机操作简单方便便餐厅服务员
关键词:点菜机STM32F103RBT6SPI接口flash芯片





















Abstract

The ordering machine is used for hotel waiters to hand order without paper and STM32F103RBT6 stmicroelectronics M3 chip is used as the main control of the system The display USES a 20inch TFTLCD screen with a resolution of 220*176 The function of the display screen is realized by using the SPI protocol The display brightness can be adjusted arbitrarily using timer output PWM control brightness technology Power display adopts M3 master control with 12bit AD conversion monitoring battery power Jiugong grid entity button when a long time (time can be set) do not operate automatically off the screen into powersaving mode Through 433 wireless communication technology to achieve the communication with the server (to update the menu send the menu ordered by the dining table inquire the dining table information order receive the server sent messages etc) Support Chinese character display using SPI interface flash chip W25Q64 to store Chinese character library
The application of a la carte machine will have a greater impact on the modern catering enterprises for the enterprise to save a lot of manpower and material resources The ordering machine is easy to operate and convenient for waiters
Keywords a la carte STM32F103RBT6 SPI interface flash chip















目录
第章 前言 5
11 研究背景意义 5
111设计背景 5
112设计意义 5
12 设计目应达技术求 6
13 设计国外发展概况存问题 6
14 设计应解决问题 6
141存问题 6
142显示问题 6
143通信问题 6
章结 7
第二章 硬件设计 8
21 设计原理 8
22 方案选择 8
23 控电路 9
24 通信电路 11
25 电源电路 11
26 复位电路时钟电路 12
章结 13
第三章 系统软件设计 14
31 系统程序设计 14
32 键程序设计 14
33 键盘灯程序设计 15
34 系统延时函数程序设计 15
35 定时器程序设计 15
36 LCD显示器器程序设计 16
37 SPI通信程序设计 16
38 串口通信程序设计 17
39 CRC校验程序设计 19
章结 19
第四章 实物效果展示 21
41 开换餐台 21
42 点菜流程 22
章结 26
第五章 总结 27
参考文献 28
致 谢 29
附 录 30

第章 前言
11 研究背景意义
111设计背景
现越越喜欢酒店吃饭特亲朋友聚餐着济发展餐饮行业发展异餐厅酒楼林立起餐厅竞争存种行业信息科技拢逐渐趋互联网+餐饮企业间竞争前竞争家店店面家店价格便宜现竞争家店服务家店菜效率高等等果说餐饮企业时代发展前种点菜方式客入座然服务员纸质菜单客点菜客点服务员空白纸抄次菜品菜品纸厨样点菜流程会造成员资源浪费时间浪费效率低生意火热时候单容易造成丢单分单错误传菜错误等问题出现影响服务质量直接造成酒店济损失
果纸化手持点菜机问题解决客店餐先菜单菜品采重复利菜单客选菜服务员点菜服务员需纸化手持点菜机点菜次点菜设置餐桌编号点菜直接通线通信菜品信息传厨服务员需厨厨安装接收菜品信息机器直接菜品信息印出印菜单菜名餐桌号样分餐传菜时候会出现分错问题
现企业间竞争餐饮企业间服务质量菜效率理水餐厅说变越越重前手工点菜方式已时毕竟种方式点菜会存问题说计算问题查找问题更改问题问题存导致出错率增加样子服务员工作效率会低客体验感觉会手持纸化点菜机现餐饮企业缺点菜机存提高餐厅服务质量提高服务员工作效率客户满意程度改善餐厅形象终提高企业竞争力运营效率
112设计意义
社会发展生活质量变更餐饮企业服务求进步满足着新兴市场需求赶餐饮行业发展升期餐饮企业发展需求企业越越性化企业特色逐渐展示出餐饮行业竞争培养理方式变化营方式日新月异创新力点菜机问世反映国行业互联网拢反映生活质量提高反映出现代餐饮企业时俱进特点综述点菜机研究具意义
12 设计目应达技术求
设计设计出纸化手持点菜机够应餐厅中提高餐厅服务员工作效率提升服务质量减少错误率企业发展促进作总体目标实现点菜机点菜加菜催单菜品信息传厨设计技术方面实现求手持点菜机功全面够选菜加菜催单算总价等功够正常已点菜品信息传厨接收器
13 设计国外发展概况存问题
根国家权威政府机构加济媒体数中解点菜机发展现状包括点菜机发展长时间竞争激激烈份关点菜机市场研究报告行业市场占行业需求增长幅度行数量规模行业生产输出量利润空间企业规模进入退出壁垒等方面进行全面调研分析点菜机行业行业生命周期定性判断现阶段属刚开始阶段具发展前景该研究报告说明点菜机行业市场竞争属激烈状态企业研发智点菜机定量较世界发达国家智点菜机产业集中度重点研究国点菜机市场占量综合整市场现状出国点菜机行业发展否稳定判断该研究报告分析点菜机产业链情况生产点菜机产品需原料公司发展情况分销点菜机渠道需求量针性判定点菜机发展影响综合数政府点菜机发展帮扶政策出点菜机未发展空间结
14 设计应解决问题
141存问题
存问题台机器解决问题存足会影响产品功导致产品研发失败芯片选型时候定充分考虑需存存包括员工帐号密码存储毕竟台机器定仅数储存位置菜品数点菜时候已点菜数需储存解决问题采SPI接口flash芯片W25Q64存储
142显示问题
显示方面显示器产品美观度提升等级想产品畅销需选择分辨率较高显示器设计选择显示器采20 寸TFTLCD屏分辨率220*176驱动芯片ILI9341显示器电池消耗提高效率户然想机器没久充电设计显示器亮度意调节采定时器输出PWM控制亮度技术长时间(时间设置)操作时动关屏进入省电模式
143通信问题
通信运串口利串口进行部分间数收发实现换菜谱厨房发菜品信息功厨房出菜慢催促厨师串口通信时候会出现丢数现象考虑串口通信添加通信协议更高程度保证数完整性
章结
点菜机餐饮企业说具重意义关系企业发展影响广民众生活目前点菜机国外发展势头发展空间发展竞争存设计存着问题问题解决会影响整设计

第二章 硬件设计
21 设计原理
系统首先客户端设计设计重点次服务端作连接客户端厨端桥梁厨端接收菜品信息服务员手持点菜机客户端输入密码进入点菜界面服务员点完菜果顾客需催菜退加菜等服务员直接点菜机操作信息会通线通信技术发送服务端服务端接收信息信息发厨端厨端接收信息会印机菜单出然厨师菜单信息做菜菜做服务员需菜单餐桌号序菜

图1 手持点菜机系统组成框图
图2 手持点菜机系统硬件组成图
22 方案选择
系统三部分组成:客户端服务器厨端该服务器C8051f020简化单片机作控板控制整服务器菜品信息员工信息显示显示屏改显示屏采12864 LCD显示屏键4行4列键盘键反键控制光标移动服务器作连接客户端厨端桥梁肯定需数实时传递果没实时传数法达功求数实时传递利AY_NRF24L01线模块刷卡利RC500刷卡模块客结账电源关闭时at24c02存储器芯片数会丢失型印机印客户帐单服务器具查询空餐台员工会员信息功实时数发送接收刷卡区域卡够动识刷卡通印机票印出厨房端组成客户端相类似少刷卡模块够立接收服务器发送信息印餐台菜单客户端控芯片种选择例STM32F103RBT6STM32F103ZBT6STM32F407ZGT6Cortexm3芯片Cortexm4芯片价格便宜频率Cortexm4芯片低Cortexm3芯片满足设计需求决定采STM32F103RBT6芯片设计采显示器尺寸位20寸型号位TFTLCD利串口进行部分间通信具点菜退菜加菜催菜功设计完成客户端软件开发

图3 STM32F103RBT6控芯片图

23 控电路
种类型控制芯片表1示:
表1 控制芯片类型选择
芯片类型
功特点
8位单片机
功性限法满足设计求
STM32F407
功满足成较高会造成资源浪费
STM32F101
STM32F101时钟频率36MHz置 32 K 512 K 闪存
STM32F103
STM32F103时钟频率达72MHz类产品中佼佼者两系列置 32 K 512 K 闪存
两STM32F1系列功差闪存置32K512K间区SRAM外围接口容量组合时钟频率终决定STM32F103RBT6系列

图4 点菜机原理图

24 通信电路
设计RF1100232串行线收发器模块CC1101低功耗线通信芯片核心具宽电源输入设计工作电压 +27 V~+55 VRF1100-232 线收发模块特点该芯片运行电池耗电量低属低功耗类型芯片型号cc1101设置调节发射功率设置10mw封顶顶工作400mhz环境通信方式具传递距离远优秀工业方应通道供户选择达256

图5 USB转串口原理图
25 电源电路
手持式点餐机两节5号电池供电电源电路中开关型DCDC升压调节器芯片BL853033BL8530效利电池中存储量提高电效率50芯片功耗影响电池时长BL8530款静态功耗非常芯片达55微安达功耗设计关仅仅PFM控制模式电压型DCDC转换器电路BOOST结构BL8530款芯片需外部元件电感器定肖特基二极输出电容器中重电感器肖特基二极量效率提高离开时候纹波输出会受电容器件影响通选择合适电感器电容器肖特基二极实现高转换效率低纹波低噪声电压输出
图6 电源电路
26 复位电路时钟电路
STM32F407RBT6复位输入端RESET接入手动钮形成复位电路STM32F407RBT6外部时钟输入端接入25MHZ晶体震荡电路形成时钟电路
图7 复位电路

图8时钟电路
章结
章写关硬件设计包括设计原理方案选择控电路电源电路通信电路复位时钟电路设计原理描述系统组成点菜机操作功方案选择Cortexm3Cortexm4芯片中选择性价更高Cortexm3芯片控电路STM32F103RBT6款芯片进行性介绍电源电路写电池选型稳压芯片选择
第三章 系统软件设计
31 系统程序设计
整系统设计功界面分菜谱界面点菜界面增减菜界面设置界面登录界面初始化界面系统电首先初始化系统时钟然显示屏线模块初始化然初始化界面然刷新界面进行键扫描进入菜谱界面点菜界面添加删菜界面

图 9点菜机程序流程图
32 键程序设计
芯片键总19键接口分布GPIOAGPIOBGPIOCGPIOD囗分PA0PB0PB15PC10PD2首先开启IO口时钟APB2总线分第2345位APB2外设时钟寄存器寄存器4位置1次分配置口属性IO口配置成普通输入模式拉拉输入模式中PA0设拉输入模式PB0PB15设拉输入模式PC10PD2设拉输入配置完IO口程序进入键扫描先设键标志0表示键1表示键未采机械键键会定时间抖动设计加入延时函数般机械键抖动信号5毫秒左右时间10毫秒延时保证抖动完全消便判定键否键IO口输入转变推挽输出输出高电
33 键盘灯程序设计
键盘灯仅LED灯组成LED灯程序设计较简单LED灯硬件接口PA2原理图中出PA2输出高电时亮灯PA2输出低电时灭灯进行程序设计首先开启GPIOA时钟时钟开IO口法工作次进行PA2脚初始化PA2配置推挽输出模式拉拉输出速率配置2MHz配置低速LED灯显示需中速高速初始化时候配置IO口输出低电灯处灭灯状态LED灯开机常亮键亮LED灯亮着没键LED灯会2秒动熄灭程序设计中需先判断否键转变PA2输出状态
34 系统延时函数程序设计
系统延时函数设计利系统时钟设计毫秒延时微秒延时时钟源采外部时钟源系统定时器构成:时钟源计数器存储计数值分频器系统滴答定时器属系统核ARM公司厂商样前(08年前)处理器没系统滴答定时器08年开始操作系统需心跳节拍心跳节拍需定时器完成产品旦升级换代换控芯片程序重写工作量太节约时间ARM公司直接规定专门处理操作系统心跳节拍定时器系统滴答定时器信息:具备两时钟源24位定时器想系统滴答定时器工
作需进行配置:配置关闭动重装载寄存器更新事件设置UG位计数器清0开启定时器时钟
35 定时器程序设计
STM32F103中8定时器通芯片框架图解STM328定时器类进行划分中TIM6TIM7基定时器TIM2345通定时器TIM1TIM8高级定时器种定时器相特点特点相特点计时功通定时器添加输出信号捕获信号信号输入输出IO口高级定时器功全仅包括定时器功添加死区中断功伺服电机工业控制基定时器功外基定时器具触发设备(DACADC通定时器高级定时器)动作力 想基定时器工作操作步骤:1开启应外设时钟2配置预分频器3清空计数器4配置预装载寄存器5配置U事件(利UG位进行配置)6配置控制寄存器

图 10点菜机定时器实验现象图
体现出定时器实验设计1秒定时通图10串口印出时间戳出印次时间增加1秒说明定时器实验成功
36 LCD显示器器程序设计
LCD显示器程序设计首先定义画笔颜色背景颜色然完成写寄存器函数写读LCD数函数返回值读值配置GPIOB015口拉输入读取数时候需读2次读数加两微秒延时读取完GPIOB口全部设成拉输出完成写寄存器函数该函数需提供寄存器编号读值
37 SPI通信程序设计
SPI种接口种高速时间接收数发送数时钟线通信总线应存芯片实时时钟ADDA转换器ID卡刷卡模块显示屏等器件SPI总线通信方式两种种步串行全双工通信种步串行半双工通信SPI时钟线:步条数线:串行时收发:全双工SPI总线通信速度:10MHz~100MHzSPI通信数帧程:首先片选线置0时钟线处高电拉低时钟线产生降时候机机进办发送数接收数低电拉高成高电会产生升时候机机准备数传递数完成片选线置1
SPI 接口支持两功支持SPI协议协议数传输协议显示屏中支持传输音频文件IIS协议 果进行配置SPI接口默认支持SPI协议果需IIS协议通软件设置串行外围设备接口(SPI)外部设备执行半双工全双工步串行通信该接口配置模式种情况外部设备提供通信时钟(SCK)该接口模式配置许目包括基两条线路简单步传输中作双数线者CRC校验实现通信
SPI基三条线全双工步传输基双线单工步传输中条作双数线8位16位传输帧格式选择模式模式操作模式功8模式波特率预分频器(值 fPCLK2配置通信速度)模式频率(值 fPCLK2)SPI模式模式实现更快通信模式模式通硬件软件进行 NSS 理:动态切换操作 (配置片选信号)编程时钟极性相位编程数序先移位MSBLSB 触发中断专发送接收标志SPI 总线忙状态标志SPI TI 模式确保通信硬件 CRC 功:发送模式 CRC 值作字节发送根收字节动进行 CRC 错误校验触发中断模式障溢 CRC 错误标志具 DMA 功 1 字节发送接收缓器:发送接收请求

图 11 SPI物理拓扑结构图
38 串口通信程序设计
UART通信模式异步串行全双工通信模式异步通信意味着没时钟线串行通信意味着条数线全双工意味着设备A通信程中时数发送设备B设备B数发送设备A异步通信通信速度求UART通信速度两设备身决定 UART通信具数格式异步通信中没时钟线发送方直接发送数接收方接收接收数果发送方发送数接收方未接收数导致数丢失没时钟线发送方具唯数发送频率接收方具数接收频率必须发送方接收方频率相发送方接收方频率稳定发送接收数时必须特定格式传输数 UART通信包含四元素:波特率数位长奇偶校验位停止位 芯片通信程中四素配意味着完成半功
前芯片六USART片外设 USART16连接APB2总线USART2344连接APB1总线 USART满足全双工通信满足NRZ编码格式(回零)支持步半双工通信LIN模式支持红外数通信IrDA支持硬件流控CTSRTS
想USART正常工作操作步骤:1配置波特率2配置控制寄存器116倍采样开启usart外设功设8位停止位关闭校验位开启发送器功开启接收器功接收唤醒控制设活动模式3配置控制寄存器2需配置第1213位00设位停止位4数写入发送数寄存器接收数寄存器读取数发送寄存器发送控制器控制发送控制器控制发送移位寄存器中数发送发送控制器必须配置发送数发送控制器受波特率控制寄存器1影响需配置发送控制寄存器1接收寄存器接收控制器控制接收控制器控制接收移位寄存器中数接收接收控制器必须配置接收数接收控制器受波特率控制寄存器1控制寄存器2影响需配置波特率控制寄存器1控制寄存器2
点菜机线模块采串口进行通信必须先STM32F103RBT6串口进行配置:第步:串口1发送数引脚PA9接收数引脚PA10串口1 GPIOA 时钟定开开时钟法工作APB2总线配置APB2外设时钟寄存器设置第二第14位1第二步:配置GPIO口PA9配置成复功输出输出速率50MHzPA10配置成普通输入拉GPIOA910复成USART1功第三步:配置串口参数首先波特率波特率户设置波特率越高通信速度越快次数长度长度设置5678位般设置8位停止位停止位1位两位般设置位校验位校验奇校验偶校验般选择校验
配置 STM32F103RBT6串口线模块进行通信然通线模块位机进行数通信

图 12点菜机串口通信实验现象图
图12表明串口发送数够通串口助手印出证明串口通信实验成功
39 CRC校验程序设计
校验码特定生成程:假设需发送数项式B(y)表示B(y)左移J位B(y)* yJ方幂表示J位清空校验码位置B(y)右边 B(y)* y功率J生成项式H(y)余数检验代码项式二进制数间存直接应关系 二进制数高位x幂项式幂位幂方项应1幂方项应0您y高幂R转换二进制数J+1位项式包括生成项式H(y)信息项式B(y)发送方生成项式信息项式2生成校验码接收侧生成项式接收编码项式执行2分离检测模确定错误位置必须满足条件:a生成项式高低位必须1 b果发送信息(CRC码)位中错误生成项式余应零 c果错误发生位余应该 d继续划分余部分您需循环浏览余部分 CRC校验数字生成项式1生成项式忽略生成项式高效位1二进制模2算法真正生成CRC校验码种算法需减法运算需加法运算XOR操作
章结
章进行系统程序设计软件设计包括键程序设计键盘灯程序设计系统延时函数程序设计定时器程序设计LED显示器器程序设计SPI通信程序设计串口通信程序设计CRC校验程序设计
第四章 实物效果展示
41 开换餐台
界面控制左右方键光标选中开换餐台点击确认进入输入餐台号界面输入餐台号次点击确认完成开餐台操作换餐台样输入餐台号界面输入餐台号点击确认完成换餐台操作

图 13点菜效果图


图 14点菜效果图
42 点菜流程
界面通控制方键光标选中点菜点击确认进入点菜界面点菜界面5种类型菜品分酒水蔬菜肉食鱼海鲜凉菜样光标移动通方键控制酒水例光标选中酒水点击确认进入选择酒水界面移动光标选择想点酒水名然点击确认完成点菜程

图 15点菜效果图


图 16点菜效果图


图 17点菜效果图

图 18点菜效果图
章结
章点菜机点菜实验效果图描述点菜机操作点菜步骤点菜机操作通方键控制光标移动选择想进入界面点菜步骤开餐台选择点菜类型选择点菜品



第五章 总结
毕业设计文编写进行现已进入尾声程中遇少问题说刚开始写文时候什资料没点菜机国外发展概况前景更解查阅网查找资料老师学帮忙提供资料方面定认识开始时候写文规范改容改格式改重复离开刘老师帮忙整篇文包括关点菜机研究背景意义设计目应达技术求国外发展概况存问题应解决问题硬件设计系统软件设计实物效果展示硬件设计包括设计原理方案选择控电路通信电路电源电路复位电路时钟电路系统软件设计包括系统程序设计键程序设计键盘灯程序设计系统延时函数程序设计定时器程序设计LCD显示器器程序设计SPI通信程序设计串口通信程序设计CRC校验程序设计
毕设结束意味着学生活结束希工作学生活中保持积极状态






















参考文献
[1]郭元颖梁清华郭爽 基线局域网餐饮服务点菜系统研究[J] 辽宁工业学学报:然科学版200929
[2]宗笋笋郝卫东基 ARM 线点菜系统[J] 计算机系统应20112
[3]剑锋栗楠丁仿等基 ARM920T 嵌入式LinuxORQt4点菜系统设计[J]动化仪表2011
[4]STM32F10x微控制器参考手册[M]7 版[出版详][出版者详]2008
[5]里昂惕夫投入产出济学[M]北京中国统计出版社2001
[6]国家统计局国民济核算司中国区投入产出表-2007[M] 北京中国统计出版社2011
[7]袁建文广东省信息产业投入产出分析[J]广东商学院学报2007
[8]黄辉韩胜娟毛鑫江西省信息产业投入产出分析[J]华东交通学学报2007
[9]周勤基投入产出表信息产业网络效应分析[J]商业时代2012
[10]王紫陌廖志高基成分分析广西柳州城市创新指数研究[J]广西工学院学报2013
[11]刘均计算机组成原理北京邮电学出版社[J]201602
[12]方辉云苗陈琛计算机组成原理华中科技学出版社[J]201602



















附 录
1mainc

#include stm32f10xh
#include
#include io_bith
#include lcdh
#include delayh
#include SHOWh
#include spih
#include flashh
#include passwordh
#include keyh
#include inith
#include power_mangeh
#include ledh
#include timeh
#include usarth
#include billh
#include rf433h

u8 *shucai[]{白菜三鲜手撕包菜茄子豆角红烧茄子孜然南瓜蒜肉油麦油淋茄子四季豆}

u8 *rou[]{炒肉红烧肉红烧猪蹄北京烤鸭水煮肉片酱香猪手梅菜扣肉腊肉秋葵香菇炖鸡糖醋排骨爆炒腰花红烧丸子土匪猪肝蒸鹿茸蒸熊掌}
u8 *yu[]{香辣蟹香辣虾口味虾烤鱿鱼水煮鱼剁椒鱼头红烧鲤鱼红烧鲫鱼}
u8 *liangcai[]{凉拌三丝拍黄瓜酱牛肉炸花生羊蝎子凉拌粉丝炸花生}
u8 *zhushi[]{米饭馒头包子韭菜盒子米粉米线饺子印度飞饼蛋炒饭}
u8 *jiushui[]{二锅头五粮液茅台汾酒劲酒稻花香金六福长城干红解百纳乐雪碧芬达果粒橙豆浆红袍龙井毛尖乌龙普洱菊花茶金银花茶}



u8 TxBuf[11] {0 1 2 3 4 5 6 7 8 9 10} 11字节 果需更长数包请正确设置
u8 RxBuf[11]
u8 ackBuf[4] {0x55 0 0 2}

********************************************************************
****************************main*************************************
* 函数名 main
* 文件功 函数
* 说 明 : 函数
* 参数 :
* 返回值 :
*********************************************************************
int main(void)
{
u8 num ijbill_list[20]


SystemInit() 系统初始化

JTAG_Set(JTAG_SWD_DISABLE) 关闭SWD防止JTAG功开启PB3 PB4脚影响
power_mangement_init( ) 外设电源理
power_mangement(ex_device_power_mangement power_enable)
power_mangement( rf433_power_power_mangement power_enable)

Init_USART(72 9600)
delay_init(72) systick初始化
SPI1_Init() 初始化SPI
SPI1_SetSpeed(SPI_SPEED_4)设置时钟高速模式

spi_cs FLASH2_CS 默认flash2(字库)余SPI外设时候切换完切换回flash2

SPI_Flash_Init()
led_init()
time_init(TIME2 199 719)

LCD_Init()

spi_cs CC1101_CS
CC1101_Init()
spi_cs FLASH2_CS

while(1)
{

TxBuf[10]0x5a
halRfSendPacket(TxBuf11)
delay_ms(50)
}

POINT_COLOR BLACK
_interfacecolor LIGHTBLUE

BACK_COLOR _interfacecolor

while(1)
{
request_rf(0xFA) 发送请求菜单命令
delay_ms(100)
}
spi_cs FLASH1_CS

for(j0j {
for(i0i<20i++)
{
bill_list[i]0
if(i bill_list[i](rou[j][i])
}
SPI_Flash_Write(bill_listmeat_base_addr+20*j20)
}
jsizeof(rou)sizeof(rou[0])
SPI_Flash_Write(&jmeat_sum1)



for(j0j {
for(i0i<20i++)
{
bill_list[i]0
if(i bill_list[i](rou[j][i])
}
SPI_Flash_Write(bill_listvegetab_base_addr+20*j20)
}
jsizeof(rou)sizeof(rou[0])
SPI_Flash_Write(&jvegetab_sum1)




for(j0j {
for(i0i<20i++)
{
bill_list[i]0
if(i bill_list[i](shucai[j][i])
}
SPI_Flash_Write(bill_listvegetab_base_addr+20*j20)
}
jsizeof(shucai)sizeof(shucai[0])
SPI_Flash_Write(&jvegetab_sum1)

for(j0j {
for(i0i<20i++)
{
bill_list[i]0
if(i bill_list[i](yu[j][i])
}
SPI_Flash_Write(bill_listfish_base_addr+20*j20)
}
jsizeof(yu)sizeof(yu[0])
SPI_Flash_Write(&jfish_sum1)

for(j0j {
for(i0i<20i++)
{
bill_list[i]0
if(i bill_list[i](liangcai[j][i])
}
SPI_Flash_Write(bill_listcold_dish_base_addr+20*j20)
}
jsizeof(liangcai)sizeof(liangcai[0])
SPI_Flash_Write(&jcold_dish_sum1)

for(j0j {
for(i0i<20i++)
{
bill_list[i]0
if(i bill_list[i](zhushi[j][i])
}
SPI_Flash_Write(bill_liststaple_food_base_addr+20*j20)
}
jsizeof(zhushi)sizeof(zhushi[0])
SPI_Flash_Write(&jstaple_food_sum1)

for(j0j {
for(i0i<20i++)
{
bill_list[i]0
if(i bill_list[i](jiushui[j][i])
}
SPI_Flash_Write(bill_listwater_base_addr+20*j20)
}
jsizeof(jiushui)sizeof(jiushui[0])
SPI_Flash_Write(&jwater_sum1)
spi_cs FLASH2_CS
POINT_COLOR BLACK
_interfacecolor LIGHTBLUE
ck_pswd
BACK_COLOR _interfacecolor
check_password() 登陆
main_menu
LCD_Clear(_interfacecolor)
_interfacemode 1 界面
_interfaceinterface_main_name 菜单
_interfaceoption_num 10
_interfacechose_option_num 0
_interfacepage 0
_interfaceNo1_option[1] 开换餐台
_interfaceNo1_option[2] 点 菜
_interfaceNo1_option[3] 换 菜
_interfaceNo1_option[4] 催 菜
_interfaceNo1_option[5] 退 菜
_interfaceNo1_option[6] 查账单
_interfaceNo1_option[7] 查餐台
_interfaceNo1_option[8] 设 置
_interfaceNo1_option[9] 查资料
_interfaceNo1_option[0] 短 信

show_interface(0)
delay_ms(1000)

while(1)
{
u8 i
i key_scan(0)
if(i < 5)
_interfacechose_option_num i

if(i key_recognition_DOWN)
{
if(_interfacechose_option_num 4)
_interfacechose_option_num 0
else
_interfacechose_option_num++
}
if(i key_recognition_UP)
{
if(_interfacechose_option_num 0)
_interfacechose_option_num 4
else
_interfacechose_option_num
}
if(i key_recognition_LEFT)
{
_interfacepage 0
_interfacechose_option_num 0
}

if(i key_recognition_RIGHT)
{
_interfacepage 1
_interfacechose_option_num 0
}

if(i key_recognition_ESC)
goto ck_pswd 返回登陆界面

if(i key_recognition_OK)
break

show_interface(1)

}
num _interfacepage * 5 + _interfacechose_option_num
switch(num) 功模块
{

case 1
start_or_change_table() 开换台
break
case 2
creat_order() 点菜
break
case 3
break 划菜
case 4
break 催起
case 5
break 退菜
case 6
break 查账单
case 7
break 查餐台
case 8
seting( )
break 设置
case 9
break 查资料
case 0
break 短信

}

goto main_menu

LCD_Clear(_interfacecolor)
_interfacemode 2
_interfaceinterface_main_name *chaorou1233*
_interfaceoption_num 5
_interfacechose_option_num 2
_interfacechose_option_sta[3] 1
_interfaceNo1_option[0] suancai
_interfaceNo1_option[1] daibaicai1
_interfaceNo1_option[2] daibaicai2
_interfaceNo1_option[3] daibaicai3
_interfaceNo1_option[4] daibaicai4
_interfaceNo1_option[5] daibaicai5

show_interface(0)
delay_ms(1000)
delay_ms(1000)

goto main_menu
}



























文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 220 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

基于单片机的无线点菜餐饮系

本科生毕业论文(设计) 题 目: ______________ 学生姓名: 阮 康 学 号: 专业班级: 建筑电气与智

天***猩 1个月前 上传107   0

基于STM32单片机的模拟雷达探测设计系统

 基于STM32单片机的模拟雷达探测设计系统 Design of simulation radar detection system based on STM32 中文摘要通过长期的社会观察以及网上数据调研发现

平***苏 2年前 上传808   0

基于STM32单片机的家庭智能火灾安全系统研究

 基于STM32单片机的家庭智能火灾安全系统研究 Research on family intelligent fire safety system based on STM32 single chip microcompute

平***苏 2年前 上传855   0

基于Linux的广告机系统

基于Linux的广告机系统基于Linux的广告机系统摘 要一般来说,广告系统,被称为广告系统是在网络信息技术时代的飞速发展中产生的新类型的网络多媒体信息发布系统。在这个系统的基础上,用户可以发布公开显示终端上公开的信息内容以各种不同的方式。目前,数字化,网络化,信息化的多媒体网络广告机,但它已成为一大亮点,在媒体市场中,广告机的单机版和单一媒体发布的形式,可满足日益增

平***苏 2年前 上传632   0

基于STM32温控风扇设计综合实践论文

 XX 大 学 综合实践(论文)题 目 基于STM32的温控风扇学 院 通信与电子工程学院 专业班级 学生姓名 学生学号

文***享 3年前 上传1165   0

基于STM32的四路抢答器课程设计

课 程 设 计 说 明 书题目: 基于STM32的四路抢答器 学 院: 年级专业: 学 号: 学生姓名: 指导教师: 摘要在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板

文***享 1年前 上传365   0

基于STM32的多路抢答器设计与制作

 基于STM32的多路抢答器设计与制作 Design and Make of Multiple Answering Device on STM3内容摘要抢答不仅仅需要参与者,还需要裁判,而裁判的作用是整个过程

平***苏 2年前 上传845   0

基于STM32的四旋翼飞行控制系统毕业设计

目 录1前言 11.1背景与意义 11.2国内外研究现状 11.3论文主要工作 22总体方案设计 32.1方案比较 32.2方案论证与选择 33飞行器原理与结构 53.1飞行器原理 53.2飞行器结构 64单元模块设计 84.1各单元模块功能介绍及电路设计 84.1.1电源 84.1.2 STM32F407最小系统 94.1.3 下载电路 114.1.4 飞

文***品 4年前 上传1004   0

基于STM32多功能播放器的应用界面设计

基于STM32多功能播放器的应用界面设计中文题目摘 要随着全球经济的不断增长和移动通信技术的不断发展,数字媒体设备也不断的在进步发展。人们可以使用他们的智能手机来更好地丰富他们的生活。手机应用是提高性能和改善用户体验的主要来源。这同时改变了我们的生活方式,是时代进步的象征。在我们的日常生活中,看视频、听音乐都是常见的释放压力的方式。为了满足人们的娱乐休闲需求,随着手机

平***苏 2年前 上传451   0

基于STM32的自动泊车系统的设计与实现

基于STM32的自动泊车系统的设计与实现基于STM32的自动泊车系统的设计与实现摘 要 自动泊车系统(Automated Parking System,简称APS)是一项属于无人驾驶的重要技术,随着车辆的激增,汽车自动驾驶技术的不断创新与发展,自动泊车系统尚未普及,为降低当下人工停车的难度,设计了一种基于STM32F103ZET6实现自动倒车入库和侧方位停车的智能化小车

平***苏 3年前 上传809   0

基于STM32的六足仿生机器人设计

 基于STM32的六足仿生机器人设计——机械结构设计 基于STM32的六足仿生机器人设计——机械结构设计摘 要在各种地形方面,相较于履带式移动机器人和轮式一定机器人,足式机器人对复杂的地形有着更好的适应性,并且这种足式设计可以协助甚至代替人类进行复杂、危险的各种工

平***苏 2年前 上传650   0

基于STM32的智能温室大棚控制系统

 基于STM32的智能温室大棚控制系统Intelligent greenhouse control system based on STM32 内容摘要 在现代的农业生产过程中,由于农业

平***苏 1年前 上传984   0

基于stm32的健身自行车数据采集系统

基于stm32的健身自行车数据采集系统设计基于stm32的健身自行车数据采集系统设计摘 要随着科技的进步,各个领域都运用数据采集系统。而数据采集系统的研发也越来越受重视。本次的目标是设计开发一套应用于健身自行车的数据采集系统,该数据系统主控芯片为STM32F407ZET6的,主要实现健身自行车数据的采集、传输、存储以及显示功能。结合设计要求,本论文主要设计了系统硬件

平***苏 2年前 上传494   0

基于stm32的四旋翼无人机的设计

基于stm32的四旋翼无人机的设计——飞行控制系统软件设计基于stm32的四旋翼无人机的设计——飞行控制系统软件设计摘 要四旋翼无人机是是一种稳定性强、结构简单的无人机。本次设计是以STM32F103C8T6为核心,以MPU6050作为飞行姿态感应模块,采用卡拉曼算法、四元数姿态解算、PID控制算法软硬结合的方式来研究并制作四旋翼无人机。本文在通过大量文献查阅的基础上,讲

平***苏 2年前 上传920   0

基于STM32点阵电子显示屏

基于STM32点阵电子显示屏基于STM32点阵电子显示屏摘 要如今的社会技术和科技的发展日新月异。LED点阵显示屏广泛应用于各种公共场合。例如,在国防工业和国防系统的展示中,在商场、地铁、学校区域、城市交通平台等交通行业的车辆信息展示中,在工厂等行业的实时监控和通知应用中,还有大部分行业等,都可以见到点阵LED显示屏的存在。本设计是基于stm32点阵电子显示屏的设计。

平***苏 3年前 上传1142   0

一种基于STM32的智能门锁系统的设计

随着人们安全意识的不断提高和安全技术的发展,传统的机械锁将被智能门锁逐渐取代。使用指纹,密码或其他方式替换钥匙,用户不再需要担心出门时忘记带上钥匙或者意外丢失了钥匙,而站在门外,或者当他们逛街回家带一个大袋子时,站在门外翻包找钥匙等情况,这为日常生活提供了很多便利。

爱***享 3年前 上传4019   0

基于单片机的时钟设计

随着时间的推移,事物的发展越来越趋向于高科技,单片机的出现,受到了社会各界的广泛关注,这是由于单片机具备丰富的功能。单片机的特点为:尺寸小,价格低,抗干扰强,开发容易等。运用的范围也很广,如检测,仪表,电子,机电等设备的各方面都有不可或缺的作用。

王***朝 4年前 上传1050   0

开题报告-基于单片机的交通灯设计

开题报告电气工程及自动化基于单片机的交通灯设计一、综述本课题国内外研究动态,说明选题的依据和意义当今社会,随着日益增长的社会发展,人们对于社活的水平也日益提高,不仅在物质享受方面有所提高,在精神享受方面也逐渐增长。最明显的就是汽车的增长。现在几乎每户人家都有一辆汽车,以至于道路经常堵塞,频繁出现交通事故。由于这种状况的出现,这就要求能有效的管理交通,其中十字路口的交通灯起着巨大的作用。因

毕***阁 4年前 上传1263   0

基于单片机的数控恒流源设计

该数控直流恒流源采用模块化,主要由单片机控制模块、键盘输入模块、A/D转换模块、恒流源模块、D/A转换模块和显示模块六部分组成。通过开关和按钮的设置,配合AT89S52单片机的编程实现数字控制及数字显示,同时用DAC0832实现D/A转换,实时把采样电路上的模拟信号转换成数字信号,形成反馈,输出模拟控制电压,再用运算放大器组成电流负反馈系统来完成输出电流控制及恒定。

👾***5 3年前 上传917   0

基于单片机的北斗定位系统

现代社会空巢老人越来越多,老人因意外发生不能及时呼救给健康甚至生命安全带来威胁,因而,老人安全监护受到越来越多的关注。为了解决这一问题,本次设计使用了STC12C5A60S2单片机作为主处理器,以UM220模块作为核心,结合LCD12864显示模块、蜂鸣器、SIM900模块,实现了多功能报警系统。

爱***享 3年前 上传1121   0

基于单片机的电子琴设计

综合课程设计题 目:可演奏的电子琴 学 院:管理科学与工程学院可演奏的电子琴摘要:随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音

天***猩 1个月前 上传99   0

基于单片机的万年历设计

 基于单片机的万年历设计 二、实验要求设计一个万年历,将时钟显示在LCD1602的显示屏上并且可以进行年、月、日以及时、分、秒的设置。此外还可以通过按键进行闹钟设置以及事件提醒功能,用蜂鸣器进行闹铃提醒。最后附加一个温湿度检测的功能,用温湿度传感器检测室内的温湿度并将温湿度数据在显示屏上显示出来。三、实验设备和仪器1.用 STC89C52芯片作为

文***品 3年前 上传694   0

基于凌阳单片机的红外通信接口设计

科 研 训 练 报 告报告题目:基于凌阳单片机的红外通信接口设计姓 名 院(系) 电气与电子工程学院 专 业 自动化 年 级

文***品 2年前 上传403   0

基于单片机的数字电压表

 基于单片机的数字电压表 摘要:本文介绍一种基于89S52单片机的一种电压测量电路,该电路采用ICL7135高精度、双积分A/D转换电路,测量范围直流0-±2000伏,使用LCD液晶模块显示,可以与PC机进行串行通信。正文着重给出了软硬件系统的各部分电路,介绍了双积分电路的原理,89S52的特点,ICL7135的功能和应用,LCD1601的功能和应用。该电路设计新颖、功能强大、可扩展性强

山***1 5年前 上传1062   0

基于单片机的程控放大器设计

 毕业设计(论文) 基于单片机的程控放大器设计 专 业: 电子科学与技术 摘 要 本设计由直流稳压电源、前置放大电路单元、增益控制部分、功率放大部分、单片机自动增益控制部分几个模块构成。输入部分采用高速电压反馈型运放OPA642作跟随器提高输入阻抗,并且在

山***1 5年前 上传1693   0