| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

房间电器综合控制系统

山***1

贡献于2019-05-31

字数:42775

房间电器综合控制系统
Control system of the electrisic in the room

设计目寻找种方法实现房间种电器综合控制采单片机红外遥控相结合方式制作出种房间电器综合控制系统系统核心AT89S52单片机红外收发装置构成整系统包括发射部分接收部分发射部分AT89S52单片机中心控制芯片外围扩展矩阵键盘红外发射电路电源电路接收部分AT89S52单片机核心外围扩展红外接收电路键显示电路电源电路键相应继电器控制家电器电路红外信号发射采脉数编码方式根键设定编码通软件实现解码控制相应电器开关通硬件软件相结合方式设计实现手持遥控器键相应电器接通电源开始工作显示键号码该电器切断电源停止工作设计具操作码数意设定编程灵活样等优点

关键词:单片机红外编码解码
























ABSTRACT
The aid of this ariticle is to search a way to

Key Words:MCUInframed



























目 录
1 引言 1
11智家居发展 1
12微处理器功应 1
13通信技术发展前景 1
2 系统原理部分方案较 3
21系统原理综述 3
22课题总体求 5
23 系统部分方案较 6
231控制方式较 6
232 信号处理方案 7
233 微处理器选择 8
3 系统硬件设计方案 9
31 系统工作原理流程 9
32 系统控制器选择 12
321 单片机(MCU)概述 12
322 MCU芯片简介器件选择 14
323 AT89S52简单介绍说明 15
33 信号发射接收电路 9
331 红外发射电路设计 9
332 红外接收电路设计 9
34 遥控键盘电路 10
341 键安装方法 9
342 矩阵扫描 9
343 健功 9
35数码显示电路 10
351 驱动电路 9
352 数字显示电路 9
36 继电器控制家电输出电路 9
361 继电器原理 9
362 控制电路 9
4 程序控制 11
41系统软件介绍 11
42总程序流程 11
43程序 11
44系统整体电路图 11
5 开发环境程序载 3
51 开发环境 3
52 程序载 5
521系统载 6
522 外加软件载 7
6 系统测试数记录 9
61 软件测试 9
611 功仿真 12
622 14
62 硬件测试仿真 12
63 系统数记录 12
7 课题相关技术发展前景 3
结 12
参考文献 13
附录1: 程序 14
附录2: 系统电路图 14
附录3: 系统PCB图 14
致 谢 15

1 引言
社会数字化社会数字集成电路广泛应社会数字集成电路身断进行更新换代断进步创新早期电子晶体中规模集成电路发展超规模集成电路(VLSIC万门)具许特定功专集成电路(ASIC)现代高新电子产品设计生产中数字集成电路技术现代电子设计技术相互促进相互推动相互制约两技术环节前者进步表现规模集成电路加工技术半导体工艺技术发展者核心EDA(电子设计动化)技术设计者工作仅限软件方式利硬件描述语言(文涉VHDL硬件描述语言)EDA软件完成系统硬件功实现避免硬件电路搭接时出现问题
11 ASIC技术促编程逻辑器件发展
着微电子技术发展设计制造集成电路务已某规模生产厂商独承担更甚系统设计师愿意设计专集成电路(ASIC)芯片希ASIC设计周期短实验室里设计出合适ASIC芯片立投入实际应中种现场思想促成现场编程逻辑器件(FPLD)出现中应广泛属现场编程门阵列(FPGA)复杂编程逻辑器件(CPLD)[1]~[2]
电子系统设计说前长段时间里设计某电子控制系统数情况指令少功单单片机开发出硬件系统电路结构庞复杂成高常容易发生电路方面障系统针某特定功设计系统升级功扩展非常困难显然样单片机某种程度已满足设计求CPLD(FPGA)芯片作种新兴设计器件技术单片机相优势光说实现工艺反熔丝技术EPROM技术EEPROM技术等实现电擦电改写紫外线擦输出结构编程逻辑宏单元设计具强灵活性PLD器件特点实现速度特性较逻辑功见种CPLD芯片进行开发设计时需增加少量外围电路结合控制丰富指令集合获功强控制系统种芯片含载程序固定接口EEPROMFlash开发出系统具升级性(部程序擦进行重新烧写)户根需进行功扩展缩短系统开发周期减少开资
利EDA技术(CPLDFPGA)进行电子系统设计终目标完成专集成电路ASIC设计实现电子科技高速发展加述CPLDFPGA(复杂
编程逻辑器件现场编程门阵列)种优点成实现途径流器件特点直接面户具极灵活性通性方便硬件测试实现快捷开发效率高成低市时间短技术维护简单工作性例Altera公司新生产MAXII系列PLD 种基FPGA(LUT)结构集成配置芯片PLD质种部集成配置芯片FPGA配置时间极短电工作户说感觉配置程传统PLD样加容量传统PLD类似Altera公司作PLD Lattice公司XP系列FPGA样原理外部配置芯片集成部方法PLD类似容量性传统FPGA相LUT架构LatticeFPGA列总种突出优点CPLDFPGA芯片已成数电子设计工程师进行电子设计首选器件
12 CPLD(FPGA)实现系统控制
CPLD(FPGA)芯片核心作控制器开发出种测量控制系统作家电子产品组成部分嵌入某系统中更具智化拥更功便操作更具时代感家电子产品发展方趋势家电器领域求增加显示报警动诊断等功求生产产品具动控制系统谓动控制功实现计算机完成方法两种:离线控制线控制离线控制包括利计算机实现控制系统总体分析设计仿真建模等工作线控制计算机代常规模拟数字控制电路控制系统软化计算机位中成控制系统测试系统信号处理系统组成部分类控制需计算机样智控制系统身处中控制系统体积功耗低价格低廉控制功强等求满足求应编程逻辑器件具体芯片实现例:文研究课题利CPLD器件(EP1K100QC2083)控芯片实现系统整体功
然CPLD控制象联系密切设计系统CPLD芯片性求高设计者求高熟练掌握CPLD知识解控制象懂传感器技术具定控制理知识等文研究课题例利CPLD具体芯片外传感器AD转换器放显示电路等实现完成系统总体功——检测室温显示实现报警

2 系统原理部分方案较
完整系统必须系统整体原理分析部分方案较选择佳优实现方法完美立败
21 系统原理概述
社会着现代测量控制动化技术发展信息采集方法越越信息采集途径中普遍基础传感器果电子计算机作脑传感器酷似五官(视觉嗅觉味觉听觉触觉)重性目然传感器求五官求高传感器种类日益增涉范围日益变广AD公司生产模拟电压输出型温度传感器TMP353637应环境控制系统热保护工业程控制火灾报警系统电源系统监控仪器散热风扇控制等NATIONAL SEMICONDUCTOR生产微处理器相结合测温温度控制理温度测量控制器LM80应计算机服务器硬件系统温度监控办公室设备电子测试设备等MAXIN公司生产应CPU冷控制PWM风扇控制器遥控温度传感器MAX1669测量外界温度方法种然热敏电阻放电路受环境影响条件会出现测温偏差TMP353637LM80MAX1669传感器造价太高相条件测温精度处理精度等方面素通道会出现偏差必须采种灵活修正方式便电压型温度传感器LM35D线性(10mV℃)宽量程(0100℃)精度高(+04℃ )低成采集电压型信号易处理电路简单实[3]
述课题设计利温度传感器LM35D采集温度信号采集微弱模拟电压信号放器OP07放十倍送入AD转换器(ADC0804)转换数字信号传CPLD芯片(里型号EP1K100QC2083)该系统核心部件通硬件描述语言(VHDL)编程进行信号处理然预先设置端口数字信号传送74LS138译码器驱动器CD4511报警器LED八段数码动态显示室温实现报警实验调试该方法0℃100℃范围温度测量时测量误差+04℃性抗干扰性强采CPLD芯片作核心监控器外界温度进行测量样降低温度传感器放电路求降低成针外部环境通道温度显示显示监控设定进行灵活修改实现系统升级
22 课题总体求
(1) 利电压型温度传感器LM35D作信息采集器件采集室温产生10mv℃电压信号
(2) 利OP07放器微弱电压信号放预先设置倍数驱动面电路
(3) 利AD转换器放模拟信号转换数字信号供系统处理
(4) 数字信号送入CPLD芯片进行处理
(5) 时时显示转换室温进行监控
(6) 温度超警戒温度时进行报警
23 系统部分方案较
231 信号采集方案较
目前信号采集种方法系统温度信号采集致三种方法面分介绍种方法优缺点讨行性
方案:采热敏电阻采集室温度信号方法满足40℃90℃测量范围热敏电阻精度重复性性较差检测1℃温度信号时误差方法取
方案二:利电流型温度传感器AD590采集室温度信号AD590具较高精度重复性(重复性优01℃)良非线性保证优+02℃测量精度利重复性较特点通非线性补偿达+02℃测量精度
电流型温度传感器AD590二端器件采种独特电路结构利新薄膜激光微调技术作定标具高精度灵敏度1uAK具宽工作电源电压范围高输入阻抗作种高阻电流源需考虑传输线电压信号损失噪声干扰问题特适合做远距离测量控制应出样道理AD590特适点温度测量系统必考虑选择开关CMOS路转换开关引入附加电阻造成误差
AD590采集信号电流信号数传ADC0804模数转换器前必须先电流信号转变成电压信号期间造成定信号损失影响精度求AD转换器前设计信号保持电路样AD590检测采集室温电路显复杂高精度测温电路中必须考虑AD590输出电流分流影响放弃方案
方案三:采电压型温度传感器LM35D采集温度信号LM35D精密集成电路温度传感器输出电压摄氏温度线性成例例关系10mV℃LM35D需外部校准微调提供±04℃常室温精度信号损失减少线性性极编程时容易实现课题说选方案
232 模拟信号数字化处理方案
整系统处理数字信号进行工作传感器采集模拟信号必须先进行模数转换够整系统运行工作模数转换器件选择课题ADC0804系统采ADC
0804模数转换器作模拟信号数字化器件进行数字化处理系统提供数字信号量
233 信号处理方案
系统利CPLD芯片进行信号处理AD转换器转换数字信号送入CPLD芯片进根已编程序(程序见附录)处理温度数字信息进步时时显示室温度报警达时时监控目说采CPLD芯片作系统信号处理控制器
234 显示部分方案较
方案:前电子工程师进行电子设计时部分单片机通串口通信线TXDRXD(P30P31)加移位寄存器74LS164实现LED显示功图21示样LED数码需片74LS164电路较麻烦单片机接口编程程序易实现课题放弃次方案

LED
LED
74LS164
74LS164


RXD
TXD

图21 通串口通信线TXO RXD实现LED显示功
方案二:年国外许基串行总线方式LED显示器接口芯片断出现芯片种功更强速度更快控制芯片连接实现单片机实现种功具占IO口线少进行功扩展方便起十分容易等特点EDA技术开发CPLD芯片系统选方案
选CPLD芯片选3阴极8段数码显示(TOD5201AE)实现动态显示CPLD已编程序驱动片CD4511片74LS138控制段码位选实现温度显示功
235 系统报警方案设计
设计开始时想系统功想室温达超警戒温度时系统实现报警提示风鸣器三极放电路实现报警功具体电路分析详述见文中

3 系统整体硬件设计方案
31 系统工作原理流程
根课题设计求知该系统需利电压型温度传感器采集室温度产生10mV℃电压信号该信号送入放器进行放放信号送AD转换器进行模数转换CPLD芯片编程处理通CPLD芯片编程设定限报警温度显示转换室温具体流程图图31示
CPU控制电路
AD转换电路
放电路
传感器控制电路
译码驱动电路
显示电路
报警电路



         






图31 系统流程图
温度信号采集电路中采方案三线性成例(10mV℃)电压型温度传感器采集信号微弱电压信号整硬件软件系统放100倍电压信号显示室温首先采集电压信号放电路放十倍送入AD转换器(ADC0804)ADC0804基准电压设25V8位转换器部转换关系输入信号扩50倍模拟信号转换数字信号转换数字信号传CPLD芯片(EP1K100QC2083)通VHDL编程扩500倍信号缩5倍输入微弱电压信号终放100倍现电压值便室温值然设置IO口数字信号传送74LS138译码器驱动器CD4511报警器LED八段数码动态显示室温实现报警实现整系统设计功
32 传感器放电路
321 电压型温度传感器LM35D
图32示般传感器工作原理方框图
敏感元件
转换元件
测量电路
辅助电源
非电量
电量





图32 传感器原理框图
系统设计传感器LM35DLM35系列种精密集成电路温度传感器输出电压摄氏温度线性成例(100mV℃)图33示关系[3]
U(V)


40
30
20
10
0 1 2 3 4 5 T(℃)
图33 传感器温度电压关系曲线
LM35系列优开尔文作标准线性温度传感器额定工作温度范围精度±34℃密封适合TO46晶体封装适合塑料TO92晶体封装特性:
(1) 直接摄氏温度校准线性+100mV℃例数
(2) 55~+150℃额定范围保证05℃精度(+25℃时)
(3) 适遥控设备晶体片微调低费
(4) 工作4~30V60μA漏泄电流较低热静止空气中008℃
(5) ±14℃非线性值低阻抗输出1mA负载时01Ω
  LM35系列中LM35D工作电压4V~20V直接温控电路电源加隔离二极滑电容CLM35D测温范围0℃~100℃输出电压直接摄氏温度成例灵敏度10mV℃输出电压接2V直流电压挡数字万表读出分辨率01℃温度读数例:室温度287℃转换关系
287℃×10 mV℃287mV (31)
表读数287mV反映室温度:287℃
图34 LM35D引脚封装
集成温度传感器LM35D测温传感器放电路做硅片形成集成温度传感器外形封装图(见图34)图34

LM35D种输出电压摄氏温度成正例温度传感器精度±1℃线性误差±05℃静态电流80uA该器件塑封三极(TO92)该温度传感器特点时需外围元件需调试较正(标定)图示(图35)LM35D典型测温电路转换电路接口电路
图35 LM35D典型测温电路转换电路接口
图35中LM35D输出端输出信号75电阻1uF电容构成积分滤波网络滤杂质信号采集温度成例(10mV℃)电压信号更加稳定温度信号放器送ADC0804进行转换
322 放电路设计

图36 系统放电路部分
图36示系统放电路部分电压型温度传感器LM35D采集室温微弱模拟量例:室温26℃LM35D采集电压信号026 V样微弱电压信号利处理容易产生误差稳定需信号整硬件系统软件系统中放100倍(前述)送入驱动电路LED数码显示室温达目图示放电路中取R61K计算放倍数R520K滑动变阻器026 V微弱电压信号020放倍数范围调放10倍需R5调10K样放器OP07放第6脚输出电压信号放十倍26V样足驱动面电路进行工作达系统设计目
33 AD转换电路部分分析
331 AD转换器
着数字技术特计算机技术飞速发展普现代控制通信检测领域中提高系统性指标信号处理广泛采数字计算机系统实际象模拟量(温度压力位移图象等)计算机数字仪表识处理信号首先必须模拟信号转变数字信号样需种模拟信号转化数字信号电路——模数转换器(AD转换器)时间幅值连续模拟信号转化时间幅值离散数字信号般四程[5]图37示


取 样
保 持
量 化
编 码
模拟信号
数字信号



图37 模数转换流程
实际电路中述四程中合进行例取样保持量化编码转化程中时实现具体介绍:
(1) 取样保持
取样时间连续变化模拟量转换时间离散模拟量(里注意取样信号然模拟量)取样程示意图图38 示
Vo(t)
Vi(t)
TG
S(t)





图38 取样程
图中传输门受取样信号S(t)控制S(t)脉宽τ期间传输门导通输出信号Vo(t)输入信号Vi(t)Vo(t)Vi(t)(Ts-τ)期间传输门关闭输出信号Vo(t)0见取样固定时间点采集模拟信号具体值取样模拟信号转换数字信号段时间必取样电路次取信号通保持电路保持段时间便续量化编码提供稳定值保持电路整系统更加协调稳定
(2) 量化编码
数字信号仅时间离散幅值连续数字量某规定数量单位整数倍模拟信号转化数字量AD转化程中必须取样保持电路输出电压某种似方式化相应离散电转化程称数值量化简称量化量化数值必须某代码表示出程做编码编码代码AD转换器输出量表示模拟信号数字信号量
332 AD转换器选择
年AD转换器着半导体技术断发展日新月异种性优异AD转换器层出穷早期AD转换器CPU接口般采行总线方式现采I2CSPI 总线新型AD转换器相继国外公司推出极丰富AD转换器种类
AD转换器位数应系统前通道中测量象精度关般情况客观条件影响电路设计中AD转换器分辨率高测量象信号低分辨率假测量组电源电压电压输出范围
0—10V求精确01V分辨率01101实际应中选择分辨率1256048位AD转换器便满足求然AD转换器位数越分辨率越高成愈高实际电路设计中选择AD转换器味强调位数应该满足系统性指标前提追求高性价格
目前广泛AD转换器种类接口协议分串行行两种方式串行接口AD转换器占较少CPU外部IO资源采协议SPII2C等方式程序设计较行接口略显繁琐典型芯片TI公司TLC2543\1543等等行接口AD转换器芯片目前占数流行ADC0804ADC0809 AD574等等课题设计ADC0804完成模拟信号数字信号转变面介绍AD 转换芯片硬件设计方法
333 AD转换电路

图39 AD转换电路
图39 示AD转换器ADC0804硬件设计电路中ADC0804逐次逼型8位8通道AD模数转换器技术指标:8位分辨率±12LSB转换精度转换时间典型值100us(时钟频率640KHZ时)电源电压单电源5V引脚中DB0—DB78位数字信号输出端(转换数字量)VCC电源端GND接端VREF参考电压输入端CLK时钟信号输入端第6脚接放器送出温度信号量
信号ADC0804第6脚送入R3C3通ADC080419脚(CLKR)接4脚(CLK)部电路提供时钟信号ADC0804正确时序正确工作
果量程0100℃LM35D采集高温度100℃LM35D灵敏度10mV℃放器OP07放十倍传ADC0804输入脚VI+电压信号10VADC0804部输入电压基准电压公式()放50倍(50倍数字量50倍):
(UiVREF*2)*256 (32)
中*符号表示意思Ui表示输入电压VI+VREFADC0804部设定基准电压(时更改)图(图310)AD转换程:

Ve
Vx


(1000)(0100)(0110)(0111)
t
图310 AD转换程原理图
硬件设计中基准电压(VREF)调25VVI+10V代入公式ADC0804输出10V电压2565≈50倍送入电路信息处理部分—CPLD芯片利软件方法结果5便达终放目送入驱动电路显示出温度100℃AD转换器分辨率125604高温度设100℃话测量精度10025604℃果高温度设50℃温度范围050℃测量精度5025602℃提高精度高报警温度设50℃
前面例子果室温26℃放电路放传ADC0804输入角VI+电压信号26V代入公式ADC0804输出26V电压2565≈50倍二进制数送入系统控制器利软件方法结果5便送入驱动电路显示出温度26℃转换电路中ADC0804起着两作模拟量转换二进制数字量二输入信号放电路放10倍放50倍
ADC0804CPLD芯片预设控制端启动CPLD接口电路工作流程图图311示
ADC0804转换二进制数字信号通DB0DB7端口传CPLD芯片预设输入端供面编程控制缩5倍然显示室温CS端ADC0804片选信号端低电效
分写读端CPLD芯片写读端相连INTR端中断口高电时表示转换完成送中断信号单片机等CPLD芯片发出信号接收转换数见整系统中AD转换电路起着关重作设计坏直接影响着整系统工作性

通道数址初始化
启动ADC0804
CS0?
数区址加
8通道采集结束
读AD转化结果数存储区
结束



















图311 ADC0804工作流程图
34 系统控制器选择
341 CPLD(FPGA)概述
集成电路发展促进EDA发展电路设计传统设计方法转变设计方法设计师希设计芯片够反映思想够时投入生产益编程逻辑器件(PLD)出现
现应广泛PLD现场编程门阵列(FPGAField programmable Gate Array)复杂编程逻辑器件(CPLDComplex Programmable Logic Device)[7]~[9]
编程逻辑器件(PLDProgrammable Logic Device)ASIC重分支发展历程致历阶段:
(1) 20世纪70年代熔丝编程PROMPLA器件早编程逻辑器件
(2) 20世纪70年代末PLA进行改进AMD公司推出PAL器件
(3) 20世纪80年代初Lattice公司发明电擦写PAL更灵活GAL器件
(4) 20世纪80年代中期Xilinx公司提出现场编程概念时生产出世界第块
FPGA芯片Altera公司推出EPLD器件较Gal器件具更高集成度电紫外线擦
(5) 20世纪80年代末Lattice公司提出系统编程技术推出系列具备系统编
程力CPLD器件实现更复杂逻辑功
(6) 20世纪90年代编程逻辑集成电路技术进入飞速发展时期器件逻辑门超百万门出现嵌发展功模块(加法器法器RAMCPU核DSP核PLL等)SOPC
总说PLD器件厂家作种通型器件生产半定制电路户通器件编程实现需逻辑功种户配置逻辑器件成较底灵活设计周期短性高风险快普应发展非成迅速20世纪70年代发展现PLD已方面工艺取突破断发展历PROMPLAPALGALCPLD(FPGA)ispLSI等高密度PLD发展程中PALGAL简单PLD结构简单设计灵活开发软件求低规模难实现复杂逻辑功着技术发展种种弊端暴露出CPLD等系列复杂PLD迅速发展起着高密度高速度低功耗结构体系更灵活通范围更广方发展
复杂编程逻辑器件(CPLD复杂PLD统称集成度达定程度PLD器件做CPLD)20世纪80年代末Lattice公司提出线编程(ispIn system programmability)技术20世纪90年代初出现EPLD基础采E2CMOS工艺制作发展起EPLD相增加部连线逻辑宏单元IO口重改进CPLD少包括三部分:编程逻辑宏单元编程IO单元编程部连线典型器件AlteraMAX7000系列Xilinx70009500系列LatticePLSIispLSI系列AMDMACH系列
着数字逻辑系统功复杂化程度断加集成芯片正着超规模高密度方发展时发现超规模数字时序系统芯片工作时时间轴瞬间系统部分工作系统局部模块功时间链总成时发现基SRAM编程
CPLDFPGA外部逻辑控制通存储存储器中目标系统数重新载实现芯片逻辑功改变正基称静态系统重构技术设想利芯片种分时复特性较规模CPLD(FPGA)芯片实现更规模数字时序系统研究程中发现常规SRAMCPLD实现静态系统重构该芯片功重新配置约需数毫秒数十毫秒量级时间重新配置数程中旧逻辑功失新逻辑功尚未建立电路逻辑时间轴断裂系统功法动态连接实现高速动态重构求芯片功重新配置时间缩短纳秒量级需CPLD部组成结构进行革新
早期CPLD中结构相逻辑阵列组成宏单元模块逻辑阵列单元说输入项专输入端IO端组成IO端口输入项通IO结构控制模块反馈选择IO端直接输入单元输出部反馈输出项缓器驱动输出输入原码补码出早期CPLD中逻辑阵列结构PALGAL中结构极类似EPROM单元取代PAL中熔丝GAL中EEPROM单元GAL样CPLD实现擦编程功基结构中门8固定积项说逻辑阵列单元中门阵列固定编程遇复杂组合逻辑时需积项超8两逻辑单元实现致器件利率高目前CPLD逻辑阵列单元结构方面做改进表现两方面:
(1) 积项数目逻辑阵列单元
复杂逻辑器件说逻辑函数需附加积项便利宏单元提供提供需逻辑资源利结构中具享联扩展积项达少占资源加快工作速度目
(2) 具两项输出逻辑阵列单元
逻辑阵列单元享相邻单元中积项单元中两项相邻两单元中样提高器件部单元利率实现更复杂逻辑功
CPLDIO口控制模块根器件类型功种结构形式基模块输出极性转换电路触发器输出三态缓器三部分相关选择电路组成生产厂家根途象进行选择生产求达佳生产目
342 CPLD芯片简介器件选择
CPLD(FPGA)生产厂家Altera公司XilinxLattice等产品特点
(1) Altera公司CPLD系列型号
Altera公司年直PLD行业保持着领先位国着较户该公司PLD器件具高性高集程度高性价低功耗等特点型号胶合(glue)逻辑类MAX系列低价位ACEX系列高速FLEX系列高密度APEX系列等推出EPM系列EPF系列集程度更提高性更加优越
众产品系列中Altera公司推出新型低成CPLD器件—ACEX系列特点:密度范围1万10万门(56000257000系统门)配备锁相环(PLL)技术64位66MHZPCI兼容产品系列原18v扩展25v提供系统速度超115MHZ高性功ACEX系列许设计者进行设计优选器件
(2) Xilinx公司CPLD系列型号
Xilinx公司1985年首次推出CPLD器件断推出新集程度更高速度更快价格更低CPLD器件CPLD器件CoonRunnerXC9500两系列代表中XC9500系列广泛应通信网络计算机等产品中该系列器件采快闪存技术E2CMOS技术工艺速度更快功耗更低目前Xilinx公司XC9500系列CPLDtPD达4ns宏单元数达288系统时钟达200MHZXC9500系列器件支持PCI总线规范JTAG边界扫描测试功具系统编程(isp)力该系列XC9500XC9500XVXC9500XL三种类型核电压分5V25V33V前说功耗低
(3) Lattice公司CPLD系列型号
Lattice公司早推出PLD器件公司20世纪90年代Lattice公司首先发明isp技术E2CMOSisp技术相结合CPLD应领域巨发展产品ispLSIispMACH等系列集程度1万门25万门间工作电压33VIO口引脚兼容5V33V25V等接口标准高位数字系统中准确率高
中ispLSI系列器件分四系列:ispLSI1000系列ispLSI2000系列ispLSI6000系列ispLSI8000系列满足复杂程度高逻辑功设计
根述厂家生产CPLD芯片谓优点功齐全系统设计中CPLD芯片选择选Altera公司ACEX1K系列EP1K100QC2083时实践训练中数芯片较关EP1K100QC2083资料查询EP1K100QC2083性定解起心应手CPLD芯片起EP1K100QC2083型号优点功强选择该器件
343 EP1K100QC2083简单介绍说明
EP1K100QC2083(脚图见附录2)Altera公司生产ACEX1K系列中型号208脚中IO口124GNDIN20VCCIN11VCCIO14VCC_CLKGND_CLK外时钟数输入专程序载口等37芯片IO口目方便户意定义信号输入输出口实现复杂逻辑功CPLD复杂功更EP1K100QC2083途
35 报警电路
图312 报警电路
CPLD芯片预设输出口发出电压信号非常微弱需放带动蜂鸣器工作R8滑动变阻器电压信号放倍数调报警电路输入引脚CPLD芯片输出端系统中设限报警温度10℃限报警温度30℃软件设计中ADC0804采集温度超出10—50℃范围令CPLD芯片固定输出口1实现蜂鸣器报警图312示
36 译码驱动电路

图313 译码驱动电路
图313中示译码器74LS138阴极LED数码驱动器CD4511CPLD输出口控制中P1P2P3译码器输入相接C高位A位四阴极数码实现位选控制测试系统中阴极LED显示器控制采接方式通控制LEDGND引脚电高低达选通目该引脚通常说位选线例:想第三位数码工作需L3位选线接低电达目译码器输出中Y301系统中采动态显示方式需断片选阴极LED显示器发光二极负极接发光二极正极高电时发光二极点亮需CD4511驱动例:显示0字形时需LED显示器8发光二极abcdefg七字段中abcdef亮需CD4511输出中ABCDEF高电CD4511芯片部已设定表31CD4511芯片部二进制输入输出应关系列表
表31 CD4511输入输出逻辑应关系
D C B A
dp G F E D C B A

0 0 0 0
0 0 1 1 1 1 1 1
0 0 0 1
0 0 0 0 0 1 1 0
0 0 1 0
0 1 0 1 1 0 1 1
0 0 1 1
0 1 0 0 1 1 1 1
0 1 0 0
0 1 1 0 0 1 1 0
0 1 0 1
0 1 1 0 1 1 0 1
0 1 1 0
0 1 1 1 1 1 0 0
0 1 1 1
0 0 0 0 0 1 1 1
1 0 0 0
0 1 1 1 1 1 1 1
1 0 0 1
0 1 1 0 0 1 1 1













37 显示电路(LED)
371 数码选择
控制应系统中显示机通道重组成部分目前广泛显示器件LED(二极显示器)LCD(液晶显示器)VFD(真空荧光)等LED显示器造价低廉核心控制设备接口方便灵活技术易实现显示阿拉伯数字少数字符通常显示求高场合LCDVFD显示器成较高显示包括汉字种字符甚复杂图形曲线耗电极省广泛种终端设备PDA手机触摸屏等等文介绍LED显示方式系统选择显示方式
LED显示器基结构原理:LED显示器采发光二极显示字段般控制电路系统中常采八段显示器LED显示器中8发光二极代表abcdefg七字段数点dp 者LED阴阳两种结构阴极LED显示器发光二极负极接发光二极正极高电时发光二极点亮阳极LED显示器正相反发光二极正极相连二极负极低电时发光二极点亮
电子控制系统中阴极LED显示器控制采接方式通控制LEDGND引脚电高低达选通目该引脚通常说位选线阳极LED显示器控制方式相反两种控制方式中阴极LED控制方式受系统器件功耗限制尺寸LED显示器中尺寸LED显示器控制(屏幕计时器)般阳极方式
LED显示接口方式:LED数码显示静态动态两种方式接口分行串行两种视接口驱动芯片定常行
LED接口芯片81558255 键盘显示专芯片8279等行方式相串行方式仅占CPU少数根IO口线便实现LED显示功静态显示方式中LED显示器中段代码独立8位行口连接公端根LED种类(阴阳)连接GNDVCC 假四位静态LED显示电路中LED段代码独立行8位IO口线控制时间显示字符静态LED显示方式优点编程容易功耗占CPUIO口线较成较高电子设计系统中较动态显示方式谓动态显示实质LED显示器定序轮流显示利眼视觉暂留现象LED显示器选通扫描速率足够快眼觉察数码闪烁现象动态扫描方式LED段选线联起8位IO口控制LED位选线外组IO口控制动态LED显示方式优点功耗较低占CPUIO线少外围接口简单系统便采动态LED显示方式
372 显示电路设计
测量室温精度02℃显示中会出现数点里选四数码第备系统选测温元件LM35D测温范围0~100℃需精度时通软件显示范围调0~+100℃限报警温度设置100℃样显示高温度加数点位四位显示简单起见显示电路中第三数码中dp位接200 电阻接+5V电压样片选L3时L3中数点便总亮

4 程序控制
电子控制系统说程序设计控制系统性优劣功体现相重程序开始初始化控制信号输入输出时序先系统逻辑功程序中体现必须非常严密思考程序微妙差表现出面简单介绍课题程序设计思想功
41 系统软件介绍
控制系统说软件部分思维程工作时序关系体现规定该系统功实现设计软件部分实现功:
(1) 输出信号控制ADC0804启动
(2) 数字信号进行BCD调整输出代表十进制数信号
(3) 设定测量温度限超温度报警
(4) 调整系统放倍数
(5) 控制译码驱动器实现数码动态显示
42 总程序流程图
利程序软件完成系统放倍数调整转换数值判断报警界限实现系统功
AD转换器始端转换标志转换结束标志数输出始端信号(电压高低电)程序控制CPLD芯片出程序设定标准转换数实现高低温度报警整体流程图图41示
启动ADC0804
采集信号
信号转换
未完成
完成
显示转换
报警判断
数显示
超警戒值
报警




















图41 总程序流程图
43 程序[11]
课题程序编制针模数转换器ADC0804控制数转换判断(说高低温度判断显示数判断)进行
根ADC0804工作特点时序关系(图42示)工作程分7状态状态机方式实现完成ADC0804控制:现ADC0804正处状态工作模式等系统程序判断会动转换状态二工作模式进等次判断转换反复工作等7状态重复遍时程序会控制CPLD芯片输出转换数字数数码进行显示时转换数程序设定警戒温度值进行较判断实现报警整程完成系统整预设功
——时时显示高低温度报警控程序见附录1

cs

WR

INTR

RD
高阻
数输出 读数

图42 ADC0804工作时序图
44 系统整体电路图(见附录3)
系统整体电路图设计原理文第二部分第节较详细
介绍处赘述值提系统工作时定注意时序关系错误时序会出结果更甚时会毁掉真系统工作前定ADC0804工作特性较深解系统工作性安全

5 开发环境程序载
51 开发环境
针目前电子设计领域CPLD(FPGA)应芯片生产厂家开发开发仿真软件开发环境针系统应具体芯片(EP1K100QC2083)开发环境Altera公司开发MAX+plusII系列软件全称Multiple Array Matrix and Programmable Logic User System[12]具原理图输入文输入两种输入手段利该工具配备编辑编译仿真综合芯片编程等功设计电路图电路描述程序变成基逻辑单元写入编程芯片中(系统EP1K100QC2083)做成ASIC芯片实现预期功特点点:
(1) 种台运行基Windows NT351Windows98Windows2000操作系统运行Sun SPAC StationsHP9000Series 700800等工作站运行
(2) 提供结构关设计环境加快动态调试缩短开发周期
(3) 工业标准EDA设计工具相连接
(4) MAX plusII提供丰富库单元供者调减轻工作者设计负担缩短设计周期
总MAX plusII集成编程逻辑器件设计环境提供灵活高效界面够设计者轻松掌握该软件完成复杂逻辑功设计
52 程序载
程序载体分系统带功载外加软件载两种面分进行介绍
521 系统载
程序编编译仿真正确前提点击MAX plusII子菜单中Programmer选项启动编程器完成载进行调试值注意果Programmer第次启动会出现图51示话框
图51 载设置
提示户载线进行设置
设置载线会出现Programmer话框窗口(图52示)时单击Programmer选项生成器件文件烧录系统选器件中系统设计中种设计方法
图52 Programmer界面
522 外加软件载
方法硬件连接方式述方法连接方式致相MAX plusII系统外载程序进行载操作
方法中设计者载目标程序文件目器件脚分配进行锁定求佳载效果方法课题设计中操作较简单设计者快掌握处赘述
两种载程序方法硬件设备基样指定载线程序载指定芯片中实现功载线求高长度般超30厘米距离会产生串行干扰说串扰串扰现象伴载程说载芯片里程序错误甚致命(系统说)果运行程序会产生意想果造成损坏亚时序错误系统造成损坏点值提载程中益中断避免述问题
提点注意事项次设计中已量克服避免值便系统实现优功图53示载线电路图中器件244缓器起克服串行干扰作
图a 总载线
图b 载线芯片连接
图53 载线原理图
图a中左边20端口计算机口相连图b10端口指定芯片相连
原理图固定器件连接启动运行载外加程序指定程序载EP1K100QC2083器件中果需外部加闪存保证程序固定

6 系统测试数记录
测试原理系统测试活动理基础测试方法测试原理实际应获测试数手段测试系统技术指标系统整体功性综合测试评估
61 软件测试
基软件性软件测试遵循般软件测试原理方法针软件特性应合适测试方法功时序两方面进行测试仿真
611 功仿真
谓功简单说程序起作起什作实现什功通观察功仿真结果分析系统设计足[13]发觉错误方求完美系统功图61示系统功仿真结果



图61 功仿真图
612 时序仿真
系统时序说系统实现功先序良条紊工作次序系统功体现实现预期功吻合效果图62示系统时序功仿真图
图62 时序仿真图
图中清楚观察系统变量时序关系系统功值步程序载指定器件前步通观察分析波形发现排错误系统正常运行
62 硬件测试仿真
仿真测试误程序载EPM1K100QC2083中实际系统电路板进行测试
程中器件:电源万表等根系统整体设计原理图进行关测量
63 系统数记录
系统说表征性坏优劣重指标系统测试数根设计方案分析理值测试实际数两者进行分析实际误差系统稳定性性指标表61实际测试结果
项目
第次
第二次
第三次
室温
28℃
32℃
38℃
显示
28℃
32℃
38℃
次数

表61 测试结果
显示(℃)

38
32
28



0 28 32 38 室温(℃)
图 61 测试显示温度表
述表(表61)中第三次测试温度超警戒温度硬件测试中实现报警系统设计正确图61测试值显示值曲线图中两者纯正关系原系统外干扰硬件连接时造成隐患干扰方面进步提高

7 课题相关技术发展前景
目前电子系统EDA设计正着眼数字逻辑模拟电路数模混合电路方发展硅集成电路制造工艺方面已进入超深亚微米(VDSMVery Deep SunMicro)阶段正005um发展编程逻辑器件等效逻辑门数工作电压时钟频率等 性指标突破性进展逻辑门达百万甚更着芯片集成度加单芯片集成通微控制器微处理器专数字信号处理器核心存储器核心嵌入式软件硬件数字模拟混合器件RF处理器等EDA述器件间物理功界限已日益模糊
[15]
观CPLD(FPGA)发展历程展未知该技术系统发展致两方理突破现检测方法(传感器)更加精细化精确求科研条件采该类型仪器进行科学研究提高准确度二实际应发展发展生产中更高逻辑功器件开发出户需外加设备稍微加改动实现复杂逻辑功企业现代化改造代作
综方面述EDA技术广度深度两方发展EDA会超越电子设计范畴进入领域着基EDASOC设计技术发展软硬核功库建立IP复基VHDL谓顶设计理念确立未电子系统设计规划电子工程师门专利专家认21世纪EDA技术快速发展时期EDA技术21世纪产生重影响十技术前EDA应方微控制器ASICDSP等方面

8 课题设计总结
毕业设计学生完成学业重环节学校学知识全面总结综合应走社会实际操作应铸良开端必次毕业设计作系统总结
次毕业设计选择实做题目仅种锻炼学四年学知识综合检查开始设计设计完成感觉收获仅理升华实践中锻炼成长许
文首先整系统工作原理实现方法进行简单介绍出系统工作整体框图基础介绍系统设计模块功特性进性方案较选择出优越方案理整系统定解情况进行系统模块电路设计充分利方面资料发挥学特长设计出CPLD核心实现译码驱动电路显示电路相连接显示室温工作状态完成系统功
整系统开发程曲折首先硬件设计前学课程定基础方查阅资料断老师学学请教确保设计电路系统完整实现完美系统功月学设计出部分电路图实现电路图组合测试修改终完善硬件电路设计够较理想完成次毕业设计功
——时时显示警戒报警
软件设计前编程验够加EPM1K100QC2083芯片解彻底方面花费精力时间程艰难真正意义学知识工作学积累宝贵验
研制程中注重先进适原注重科技应结合产学研结合侧重科研技术转化生产力系统设计阶段开发实现阶段应测试阶段4月努力核心问题已全部解决功均已基实现通性提高次毕业设计历CPLD(FPGA)特征性应原理深刻解真正做学学说获益匪浅
总说理实践方面存定足设计思路实现功难免足处请位老师批评指正




























参 考 文 献

[1] 姜雪送刘东升.硬件描述语言VHDL教程第版西安交通学学出版社2004年 6~20页
[2] 陈耀.VHDL语言设计技术第版电子工业出版社2004年11~18页
[3] 王化祥张淑英.传感器原理应第版天津学出版社2005年3~9页
[4][张磊雷震.高速电路设计信号完整分析集成电路设计2004年第期
[5] 康华光.电子技术基础数字部分第四版高等教育出版社2000年402~420页
[6] 杜振芳梅阳.基FPGA高速信号发生器电子技术应2003年第11期
[7] 潘松黄继业.EDA技术VHDL第版清华学出版社2005年4~11页
[8] 杨春玲.现代编程逻辑器件SOPC应设计第版哈尔滨工业学出版社2005年 7~14页
[9] 希刘洪梅.新型通集成电路实技术第版国防工业出版社1997年6~8页
[10] 刘常澍.数字逻辑电路第版国防工业出版社2002年
[11] 谭会生.EDA技术应第二版西安电子科技学出版社2001年129~147页
[12] 黄.VHDL入门·解惑·典实例·验总结第版北京航空航天学出版社2005年67~78页
[13] 金西.VHDL复杂数字系统设计第版西安电子科技学出版社2003年8~16页
[14] 邓素萍.串行通信RS232/RS485转换器国外电子原器件2003年第7期
[15] 李国洪.编程逻辑器件EDA技术实践第版机械工业出版社2004年3~26页
[16] Michael A Miller Data and Network Communications第版科学出版社2002年
[17] JBhasker著徐振林译.Verilog HDL硬件描述语言第版电子工业出版社2004年













致 谢

毕业设计学学生活项学务学四年学综合考核综合素质技提高次毕业设计选择张建民教授带较具实性意思课题——基CPLD室温检测电路设计期两月毕业设计程中仅较系统复前学知识学许新知识知识结构更加系统化更加完善时提高独立分析问题解决问题力
次毕业设计够利完成首先感谢指导老师张建民教授张教授严谨治学态度深厚学术造诣忘工作精神留深刻印象张教授严格求孜孜倦教导完成次毕业设计重保证予帮助支持课题研究期间张教授提供指导性意见存问题予细心分析提出许宝贵意见受益匪浅谨导师表示衷心感谢时感谢予帮助支持舍友感谢编程程序调试程中提供帮助秦建川学感谢电子工程系老师做毕业设计提供方面帮助
时感谢母校——天津工程师范学院特踏工作岗位时样锻炼学机会加深前知识理解拓宽知识面提高学知识综合应力
整设计制作程中感觉收获非常获仅理收获实践中丰收时学间合作精神祝愿院日蓬勃发展成独具风格综合性学
祝愿母校更美
次感谢期间帮助衷心祝福
  通信0201 布冬冬
2006年6月天津工程师范学院











附录1 程序
AD转换控制程序
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity ADKONGZHI is
port(din std_logic_vector(7 downto 0)
rstin std_logic
clkin std_logic
eocin std_logic
aleout std_logic
startout std_logic
oeout std_logic
addaout std_logic
bcdoutout std_logic_vector(11 downto 0)
)
end entity SDKONGZHI
architecture art of ADKONGZHI is
type states is (st0st1st2st3st4st5st6)
signal current_statenext_statestates
signal reglstd_logic_vector(7 downto 0)
signal lock0lock1std_logic
signal valuestd_logic_vector(11 downto 0)
signal censtd_logic
signal ale0std_logic
signal start0std_logic
signal oe0std_lognal
begin
statesystemblock is
begin
adda<’1’
process(current_stateeoc)
case current_state is
when st0 >ale0<’0’start0<’0’oe0<’0’
lock0<’0’next_state when st1 >ale0<’1’start0<’0’oe0<’0’
lock0<’0’next_state when st2 >ale0<’0’start0<’1’oe0<’0’
lock0<’0’next_state when st3 >ale0<’0’start0<’0’oe0<’0’
lock0<’0’cen<’0’
if eoc’1’ then next_state else next_state end if
when st4 >ale0<’0’start0<’0’oe0<’0’
lock0<’0’ cen<’0’
if eoc’0’ then next_state else next_state end if
when st5>ale0<’0’start0<’0’oe0<’1’
lock0<’1’next_state when st6>ale0<’0’start0<’0’oe0<’1’
lock0<’1’next_state when others >ale0<’0’start0<’0’oe0<’1’
lock0<’0’next_state end case
end procsee
process(rstclk)
begin
if rst’1’ then current_state elsif rising_edge(clk) then current_state end if
end process
process(clk)
begin
if rising_edge(clk) then ale end if
end process
process(lock1)
begin
if rising_edge(lock) then regl end if
end process
end block statesystem
conversionblock is
signal vstd_logic_vector(7 downto 0)
signal hblbstd_logic_vector(11 downto 0)
signal c30c74c118std_logic
signal tempatempbtempcstd_logic_vector(4 downto 0)
begin
process(regl)
begin
v case v(7 downto 4) is
when 1111 >hb<010010000000
when 1110 >hb<010001001000
when 1101 >hb<010000010110
when 1100 >hb<001110000100
when 1011 >hb<001101010010
when 1010 >hb<001100100000
when 1001 >hb<001010001000
when 1000 >hb<001001010110
when 0111 >hb<001000100100
when 0110 >hb<000110010010
when 0101 >hb<000101100000
when 0100 >hb<001001010000
when 0011 >hb<000010010110
when 0010 >hb<000001100010
when 0001 >hb<000000110010
when others >hb<000000000000
end case
case v(3 downto 0) is
when 1111 >lb<000000110000
when 1110 >lb<000000101000
when 1101 >lb<000000100110
when 1100 >lb<000000100100
when 1011 >lb<000000100010
when 1010 >lb<000000100000
when 1001 >lb<000000011000
when 1000 >lb<000000010110
when 0111 >lb<000000010100
when 0110 >lb<000000010010
when 0101 >lb<000000010000
when 0100 >lb<000000001000
when 0011 >lb<000000000110
when 0010 >lb<000000000100
when 0001 >lb<000000000010
when others >lb<000000000000
end case
end process
process(hblb cen)
variable temp1temp2temp3std_logic_vector(3 downto 0)
begin
if rising_edge(cen) then
temp1hb(3 downto 0)+lb(3 downto 0)
if temp1>1001 then
temp1temp1+0110
temp2hb(7 downto 4)+lb(7 downto 4)+’1’
if temp2>1001 then
temp2temp2+0110
temp3hb(11 downto 8)+lb(11 downto 8)+’1’
if temp3>1001 then
temp3temp3+0110
end if
else temp3hb(11 downto 8)+lb(11 downto 8)
if temp3>1001 then
temp3temp3+0110
end if
end if
else
temp2 hb(7 downto 4)+lb(7 downto 4)
if temp2>1001 then
temp2temp2+0110
temp3hb(11 downto 8)+lb(11 downto 8)+’1’
if temp3>1001 then
temp3temp3+0110
end if
else
temp3hb(11 downto 8)+lb(11 downto 8)
if temp3>1001 then
temp3temp3+0110
end if
end if
end if
end if
valueend process
bcdoutend block conversion
end architecture














附录2 EP1K100QC2083简单介绍说明



附录3 系统整体电路图

附录4 系统PCB板图




英文资料中文翻译
Digital Communication System
For modern people if you do not know Digital Communication or Digital
Signal it is seem to be outdated even would be laughed as a ignorant men well then what is the Digital Communication and what is the Digital Signal This text is to do an generalize in the way of brief and plain
1Why Digital
Why are communication systemmilitary and commercial alikegoing digital?The primary advantage is the ease with which digital signalscompared with analog signalsare regenerated Figure 1 illustrates an ideal binary digital pulse propagating along a transmission line The shape of the waveform is affected by two basic mechanisms (1) as all transmission lines and circuits have some nonideal frequence transfer functionthere is a distorting effect on the ideal and pulse and (2) unwanted electrical noise or other interference further distorts the pulse waveform Both of these mechanisms cause the pulse shape to degrade as a function of line lengeas shown in Figure 1 During the time that the transmitted pulse can still be reliably identified before it is degraded to an ambiguous statethe pulse is amplified by a digital amplifier that recovers its original ideal shape The pulse is thus rebor or regenerated Circuits that perform this function at regular intervals along a transmission system are called regenerative repeaters
Distance 1 Distance 2 Dance 3 stance 4 Distance 5
Original Some signal Degraded Signal is badly Amplification
dstortiuon dstortiuon dstortiuon degraded regenerate pulse






Propagation distance
Figure 1 Pulse degradation and regeneration
Digital circuit are less subject to distortion and interfernce than analog circuit Becanse binary circuits opoerate in one of two states fully on fully of —to be meaningfula disturbance must be large enough to change the circuit ooperating point from one state to the other Such twostate operation facilitates signal regeneration and thus prevents noise and other disturbances from accumulating in transmission Analog signalshoweverare not twostate signals they can take an infinite variety of shapes With analog circuitseven a small disturbance can render the reproduced waveform unacceptably distorted Once the analog signal is distortedthe distortion cannot be removed by amplification Because accumulated noise is irrevocably bound to analog signalsthey cannot be perfectly regenerated With digital techniquesextremely low error rates produres high signal fidelity are possible through error detection and correction but similar procedures are not available with analog
There are other important advantages to digital communications Digital circuit are more reliable and can be produced at a lower cost than analog circuit Also digital hardwave lends itself to more flexble implementation than analog hardwave (eg microprocessor digital switching and largescale integrated (LSI) circuit) The combing of digital signals using timedivision multiplexing (TDM) is simpler than the combing of analog signals using frequcencydivision multiplexing (FDM) Different types of digital signals (data telegraph telephone television) can be treated as identical signals in transmission and switching a bit is a bit Also for convenient switching digital messages can be handled in autonomous groups called packets Digital techniques lend themselves naturally to signal processing functions that project against interferene and jammingor that provide encryption and privacy Also much data communication is from computer to computer or from digital instruments or terminal to computer Such digital terminations are naturally best served by digital communication links
What are the costs associated with the beneficial attributes of digital cimmunication system Digital system tend to be very signalprocessing intensive compared with analog Also digital system need to allocate a significant share of their resources to the task of synchroniztion at various levels With analog system on the other hand synchroniztion often is accomplished more easily One disadvantage of a digital communication system is nongraceful degradation When the signaltonoise ratio drops below a certain threshold the quality of service can change suddenly from very poor In cintrast most communication aystem degrade more gracefully
2Typical Blook Diagram and Transformations
The function block diagram shown in Figure 2 illustrates the signal flow and the signalprocessing steps through a typical digital communication system (DCS) This figure can serve as a kind of road map guiding the reader through the chapter The upper blocks format source encode encrypt channel encode multiplex pulse modulate bandpass modulate frequency spread and multiple accessdenote signal transformations from the source to the transmitter The lower block denote signal transformations from the receiver to the sink essentially reversing the signal processing steps performed by the upper blocks The modulate and demodulatedetect blocks together are called a modern The term modern often encompasses several of the signal processing steps shown in Figure 2 When this is the case the modern can be though of as the brain of the system The transmitter and receiver can be though of as the muscles of the system For wireless applications the transmitter and consists of a frequency upconversion stage to a radio frequency (RF) a highpower amplifier(LNA) Frequency downconversion is performed in the fornt end of the receiver andor the demodulator
Figure 2 illustrates a kind of reciprocity between the block in the upper transmitter part of the figure and those in the lower receiver part The signal processing steps that take place in the transmitter are for the most part reversed in the reveiver In Figure 2 the input information source is converted to binary digits (bis) the bits are then grouped to from digital message or message
Each such symbol (miwhere i123…M) can be regarded as a memerber of finite alphabet set containing M members Thus for M2 the message symbol mi is binary (meaning that it constitutes just a signal bit) Even though binary symbol fall within the general definition of Mary nevertheless the name Mary is usually applied to those cases where M>2 hence such symbol are each made up of a sequence of two or more bits (Compare such a finite alphabet in a DCS with an analog system where the message waveform is typically a member of an infinite set of possible waveform ) For system that use channel coding (error correction coding) a sequence of message symbol is denoted ui Because a message symbol or a channel symbol can consist of a single bit or a group of bits a sequence of such symbol is also described as a bit stream as shown in Figure 2
Consider the key signal processing block shown in Figure 2 Only formatting modulation demodulationdetection and synchronization are essential for a DCS Formatting transform the source information into bits thus assuring compatibility between the information and the signal processing within the DCS From this point in the figure up to the pulsemodulation block the information remains in the form of a bit stream Modulation is the process by which message symbols or channel symbols (when channel coding is used) are converted to waveforms that are compatible with the requirements imposed by the transmission channel Pulse modulation is an essential step because each symbol to be transmitted must first be transformed from a binary representation (voltage levels representing binary ones and zeros) to a baseband waveform The term baseband refers to a signal whose spectrum extends from (or near) dc up to some finite value usually less than a few megahertz The pulsemodulation block usually includes filtering
for minimizing the transmission bandwidth When pulse modulation is applied to binary sym
Multiple
acess
Frequency
spread

Digital
Basepass
waveform

si(t)
X
MT
Information
source
From other
sources
Message
symbols

Pulse
Modulate
Multi
plex
Channel
encode
Encrypt
Source
encode
Format
Bandpass
modulate

Information
sink


Digital
input mi ui gi(t)
Digital
Baseband
waveform
Synchronization



m(t) u(t)
Detect
Z(t)
Multi
plex
Channel
decode
Decrypt
Source
decode
Format
Message
symbols


Demodulate&Sa mple

R
CV

To other
destination
r(t)
Digital
Basepass
waveform
Multiple
acess
Frequency des read




Frequency des read
Figure 2 A typical digital communication system
bols the resulting binary waveform is called a pulsecode modulation (PCM) waveform There are several types of PCM waveform In telephone applications these waveforms are often called line codes When pulse modulation is applied to nonbinary symbols the resulting waveform is called an M ary pulse modulation wave form There are several types of such waveformswhere the one called pulseamplitude modulation (PAM) is emphasized After pulse modulation each message symbol or channel symbol takes the form of a baseband waveform gi(t) where i123…M In any electronic implementation the bit stream prior to pulsemodulation is represented with voltage levels One might wonder why there is a separate block for pulse modulation when in face different voltage levels for binary ones and zeros can be viewed as impulse or as ideal rectangular pulses each pulse occupying one bit time There are two important differences between such voltage levels and the baseband waveforms used for modulation First the pulsemodulation block allows for a variety of binary and Mary pulsewaveform types Second the filtering within the pulsemodulation block yields pulses that occupy more than just time Filtering yields pulses that are spread in time thus the pulses are smeared into neighboring bittimes This filtering is sometimes referred to as pulse shaping it is used to contain the transmission bandwidth within some desired spectral region
For an application involving RF transmission the text important step is bandpass modulation it is required whenever the transmission medium will not support the propagation of pulselike waveforms For such cases the medium requires a bandpass waveform si(t) where i12…M The term bandpass is used to indicate that the baseband waveform gi(t) is frequency translate by a carrier wave to a frequency that is much larger than the spectral content of gi(t) As si(t) propagates over the hannel it is impated by the channel characteristics which can be described in term of the channel’s impulse response hc(t) Also at various point along the signal route additive random noise distorts the received signal r(t) so that its reception must be termed a corrupted version of the signal si(t) that was launched at the transmitter The received signal r(t) can be expressed as
r(t) si(t)* hc(t)+n(t) i12…M
where * respresents a convolution operation and n(t) represents a noise
In the reverse direction the receiver front end andor the demodulator provides frequency downconversion for each bandpass waveform r(t) The demodulator restores r(t) to an optimally shaped baseband pulse z(t) in preparation for detection Typically there can be several filters associated with the receiver and demodulator filtering to remove unwanted high frequency terms (in the frequency downconversion of bandpass waveforms) and filtering for pulse shaping Equalization can be described as a filtering option that is used in or after the demodulator to reverse any degrading effects on the signal that were caused by the channel Equalization becomes essential whenever the impulse reponse of the channel h
c(t) is so poor that the received signal is badly distortion caused An equalizer is implement to compensate for (ie remove or diminish) any signal distortion caused by a nonideal hc(t) Finally the sampling step transforms the shaped pulse z(t) to a sample z(t) and the detection step transforms z(t) to an estimate of the channel symbol ui or an estimate of the message symbol mi (if there is no channel coding) As we knowndemodulation is degrading the digital meaning of that waveform
The other signal processing steps within the modern are design option for specific system needs Source coding produces analogtodigital (AD) conversion (for analog sources) and removes redundant (unneeded) information Note that a typical DCS would either use the source coding option (for both digitizing and compressing the source information ) or it wpuld either use the simpler formatting transformation(for digitizing alone) A system would not use both source coding and formatting because the former already includes the essential step of digitizing the information Encryption which is used to provide communication privacy prevents unauthorized users from understanding message and from injecting false message into the system Channel coding for a given data rate can reduce the probability of error PE or reduce the required signaltonoise ratio to achieve a desired PE at the expense of transmission bandwidth or decoder complexity Multiplexing and multipleaccess procedures combine signals that might have different characteristics or might originate from different sources so that they can share a portion of the communications resource(eg spectrum time) Frequency spreading can produce a signal that is relatively invulnerable to interference (both natural and intentional) and can be used to enhance the privacy of the communications It is also a valuable technique used for multiple access





















数字通信系统

现代说果知道数字通信数字信号显落伍甚会讥笑孤陋寡闻数字信号数字通信呢?文做简单浅显易懂概括说明
什进行数字化
现军商什通信系统进行数字化呢?许原中重原数字信号模拟信号相更易生图1示传输线传输种理想二进制数字脉波形形状受两基素影响:第传输线电路具非理想频率传递函数理想脉产生失真第二电子噪声干扰进步脉波形产生失真两种失真机理实际线路长度函数会引起脉形状发生畸变图1传输脉恶化模糊状态前传输脉识数字放器脉放恢复初理想形状脉样生传输系统中规定时间间隔执行种功电路成生中继器






图1 脉编码生
模拟电路相数字电路易产生失真干扰二进制数字电路工作全通全断开关状态意义干扰必须足够电路种状态变种状态两种工作状态助信号生传输中效抑制噪声累积干扰然模拟信号双态信号波形限模拟电路中干扰导致信号产生难接受失真失真旦产生法通放器驱模拟信号累积噪声密分完全生采数字技术通检错纠错获极低差错概率高保真信号模拟系统没样类似技术
数字通信系统优点:数字电路模拟电路更生产成模拟电路低数字硬件模拟硬件更具灵活性微处理器数字开关规模集成电路等时分复(TDM)信号频分复(FDM)信号模拟信号更简单类型数字信号(数电报电话电视等)传输交换中成相信号——特信号方便交换数字信号数包(packet)形式进行处理数字技术身助信号出处理具抗干扰然干扰功够提供加密隐私处理计算机计算机间数字设备终端计算机间数通信需求越越数字终端通数字通信链路获服务
数字通信系统获优点代价什呢?模拟系统相数字系统侧重信号处理技术通信阶段需分配部分享资源实现步模拟系统中步相较容易数字通信系统缺点具门限效应信噪降定限度时服务质量会急剧恶化部分模拟通信系统服务质量降较缓慢
二典型通信系统框图
图2示功框图描述典型数字通信系统(DCS)信号流程信号处理程该图作种图引导读者浏览文容方框图部表示信源发送端信号传输程包括格式化信源编码加密信道编码路复脉调制带通调制频率扩展址接入部表示接收端信宿信号传输程基方框图部信号处理反程调制解调检测方框合称调制解调器术语
调制解调器通常图2示信号处理程中部分构成时调制解调器相系统脑发送端接收端相系统肌肉线电应中发送端频率变频射频频段(RF)高功率放器馈送天线接收端部分天线低噪声放器(LNA)组成变频接受器调制器前级末端完成
图2显示出部发送方框图部接受方框图存逆性发送方框图中部分信号处理步骤接受部分方框图中步骤相反图2中输入信息源先转换成二进制数字(特)然组合数字信息信息符号
码元(Mii123…M)成长度M码元集合元素中组成部分M 2消息码元Mi二进制数(意味着仅包含1特信息)二进制数符号包含M进制数定义中通常M进制数M >2情形M符号两两特构成(DCS中种限码元集模拟系统信号波形集限)采信道编码(纠错编码)系统言信息码元标识ui信息码元信道组特构成样码元序列成特流图2示
考察图2示框图DCS关键信号处理程仅包括格式化调制解调检测步格式化源信息转换数字特保证DCS信息信号处理直性图2中脉调治2方框 前信息特流形式调制程信息码牙信道码元(采信道码元)转换成传输信道特性匹配波形脉调制必少步骤传输符号必须先二进制代码(表示二进制10电压电)转换成基波形式术语基带直流延伸某限值信号频谱值通常MHZ限值脉调制方框通常包含传输基带化滤波器二进制数符号应脉调治时产生二进制数波形称脉编码调制波形PCM(脉编码调制)波形种类型电话通信中波形通常称线路码脉调制非二进制符号时产生波统称M进制调制波形样波形种类型里重点介绍脉幅度调制(PAM)脉调制信息码元信道码元转变基带波形形式gi(t)电子设备中脉调制前特流电压电表示读者想知道然实际代表二进制数10电脉占特时间激理想矩形脉波形什需单独方框脉调制呢?电压电调制基带波形间重区:第脉调制方框适种二进制数M进制数脉波形第二脉调制方框中滤波器产生持续时间1特时间脉滤波器产生脉时间展宽会占相邻特时间种滤波时候称脉成形传输带宽限制定频谱范围
Multiple
acess
Frequency
spread

Digital
Basepass
waveform

si(t)
X
MT
Information
source
From other
sources
Message
symbols

Pulse
Modulate
Multi
plex
Channel
encode
Encrypt
Source
encode
Format
Bandpass
modulate

Information
sink


Digital
input mi ui gi(t)
Digital
Baseband
waveform
Synchronization



m(t) u(t)
Detect
Z(t)
Multi
plex
Channel
decode
Decrypt
Source
decode
Format
Message
symbols


Demodulate&Sa mple

R
CV

To other
destination
r(t)
Digital
Basepass
waveform
Multiple
acess
Frequency des read


图2 数字通信系统
涉射频传输应中重步骤带通调制传输介质支持脉波形传输必须应带通调制时传输介质求信号带通波形si(t)(中i12…M)带通意味着基带波形频谱载波搬移gi(t)频谱频率点si(t)信道传输时会受信道特性影响信道特性信道激响应hc(t)描述外信号传输线路点加性机噪声会接受信号r(t)进步失真接受信号发送信号si(t)种失真形式接受信号r(t)表示
r(t) si(t)* hc(t)+n(t) i12…M (1)
式中*表示卷积运算n(t)表示噪声
相反方接收机前端解调器带通波形r(t)进行变频转换解调器r(t)恢复成佳基带脉波形z(t)面检测做准备通常会接收机解调器相连滤波器滤需高频部分(带通波形变频)改善脉波形均衡认种滤波程抗信道引起良影响包含解调器中解调器信道激响应hc(t)接收信号严重失真时均衡器显十分重补偿(消削弱)非理想hc(t)导致形式信号失真采样程成形脉信号z(t)变换成采样信号z(t)检测z(t)变成信道码元信息码元(没采信道编码)估值uiMi知解调波形(基带脉)恢复检测指波形数字意义关判决
调制解调器中信号处理步骤根系统具体求进行设计选择信源编码模拟信号进行模数(AD)转换冗余信息值注意典型DCS者采信源编码(数字化压缩信源信息)者采较简单格式化变换(数字信号时)系统会时采信源编码格式化前者已包含数字化信源信息必步骤加密提供通信保密性防止没授权户获信息差错信息加入系统中定数数率增加传输带宽解码器复杂性条件信道编码降低差错概率PE者保持期差错概率PE条件降低需信噪路复址接入
特性信源信号进行合成便享通信资源(频谱时间)扩频产生抵御干扰(然认干扰)信号提高通信装备保密性时址接入方面项技术









文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 35 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

房间认识实习报告

房间认识实习报告  房间认识实习报告   内容摘要 房屋建筑学是研究房屋的构造组成构造原理及构造方法的一门课程,同时还包括介绍建筑设 计的一般原则的教学内容。因此本课程在土建类专业的课程体系中占有重要的地位。构造组 成研究房屋的各个组成部分及作用。构造原理研究房屋各个部分的构造要求及符合这些要求 的构造理论构造方法研究在构造原理的指导下用性能优良经济可行的建筑材料和建筑制品的 构成建筑结

夜***出 10年前 上传516   0

我的房间作文30篇2020年

我的房间作文30篇  我的房间作文(一): 我的房间  我最喜欢的就要数属于我的房间了,它温馨、整洁,让人看起来心里很舒服。  一进房门,我就看见了一台大电视的侧面。再往前走,就是我的衣柜,里面有许许多多我喜爱的衣服,有粉色的,有橙色的,有蓝色的……五颜六色,让人看起来眼花缭乱。再往左走,就是我那温暖的床,床的颜色是粉色的,床头那儿被我贴了许多贴画,上头还放了一个小娃娃,床上也放了一个大

四***廷 3年前 上传391   0

飘着书香的房间

飘着书香的房间飘着书香的房间培正小学 二年(6)班 陈安昕 (女,7岁)指导老师:陈蓉我的房间飘着淡淡的香味,那是书香,是从我的大书架里散发出来的。我每天抽出其中的书来看,有童话的、历史的、寓言的、科学的、诗歌的、漫画的等等好看的图书。晚上我睡觉了,书架里也安静极了,好象图书们也在睡觉。下午,我放学回家了,图书们在书架里左拥右挤,好象在说:“快来呀,快把我取出书架呀!我要带你去旅

m***m 10年前 上传306   0

电器公司实习报告

电器公司实习报告  我的专业是经贸英语,但与这次实习的公司所主营的业务毫无关联,因为我这次的实习单位是广东省江门市集雅电器有限公司,是一家专业生产高、低压成套配电设备及电器开关的企业。公司技术力量雄厚,检测手段齐全,专业设备齐全,制作工艺精湛,通过并严格实施了ISO9001:XX国际质量管理体系认证和江门市三级计量保证体系认证,江门市“消费者满意单位”等荣誉称号,主要产品有:PGL、GGD、

燕***张 11年前 上传667   0

电器部内审记录

内 审 检 查 表 JL-08-006 NO. 审核员: 受审部门 电器部 时间 2003年 06月 15 日 依据 审核内容、方法 记录 评价 4.4.1机构与职责 1.你部门担任什么样的职责? 4.2环境方针 1.

b***n 10年前 上传2509   0

通用电器公司

通用电器公司 General Electric     无界线……快速……远大  通用磨坊     General Mills     冠军企业        企业价值观宣言:    消费者—— 消费者选择通用磨坊,因为我们提供具竞争力的优良产品与服务。  员工—— 员工选择通用磨坊,因为我们鼓励创新及优异的表现,并授予员工领导权。    投资

l***3 9年前 上传7920   0

电器采购合同

合同编号: 签订地点: 签订时间: 电子办公用品/电器采购合同 (适用于电脑、打印机、相机、存储设备、录音设备、投影 设备等办公类电子产品的采购,和消毒柜、耳机、麦克、对讲机、充电器等小型电器的采购,不带安装) 甲方(需方):

山***1 5年前 上传1177   0

关于在电器公司

关于在电器公司 财务会计方面的实践报告       社会实践是学校根据专业教学的要求,对学员已学部分理论知识进行综合运用的培训,其目的在于让学生接触社会,加强学生对社会的了解,培养和训练学生认识、观察社会以及分析、解决问题的能力,提高学生的专业技能,使之很快地融入到实际工作中去。通过实习让我体验到了社会工作的艰苦性,通过实习,让我在社会中磨练了下自己,也锻炼了下意志力,训练了自己的动手操作

丁***叮 5年前 上传1126   0

电器采购安装合同

合同编号: 签订地点: 签订时间: 电器采购安装合同 (适用于空调、消毒柜、储存箱、电视、配电柜、GPS等的采购,带安装,个别带培训) 甲方(需方): 乙方(供方):

山***1 5年前 上传1438   0

**继电器工区简介

衡阳继电器工区由14个清一色“娘子军”组成的班组,管辖范围贯穿京广线、娄邵线、湘桂线、武广高铁、吉衡线。原衡阳继电器工区担任60个车站,10个道口工区,12个中继站的继电器检修轮修工作,现增加株州西站、株州南站及娄邵线13个站及3个道口设备的继电器检修轮换工作。

微***们 6年前 上传2355   0

电器维修

××有限公司岗位工作说明书 一、岗位标识信息 岗位名称: 电器维修专管 隶属部门: 制造部 岗位编码: 直接上级: 设备主管 工资等级: 直接下级: 维修全员 可轮换岗位:行政维修、机械维修 分析日期:

m***c 10年前 上传27512   0

国美电器营销渠道

国美电器营销渠道研究背景与问题的提出家电行业是目前我国各行业中竞争最激烈、市场化程度最高的行业之一,经过20多年的发展,家电行业已经出现了一批全国乃至世界知名品牌,如海尔、格力、格兰仕、TCL等,形成了相对稳定的市场格局,各企业也根据自己的特点建立了适合企业发展的销售渠道。但随着营销环境的变化,尤其是连锁商业的迅速扩张和电子商务的迅速发展,传统的营销渠道模式已经不能适应现代企业发展的需要。

文***享 4年前 上传1096   0

安全继电器的原理

安全继电器的原理安全继电器主要作用就是互补彼此继电器等的物理缺陷,达到低误动作高可靠运行性的目的。   一般常用于紧急停止按钮按下后设备的再启动、安全切断动力和控制电源、重新启动设备时的误动作可能性、安全防护动作后的再启动设备的可能性等。  目前常用的安全继电器有PILZ,西门子也有但没有成一个体系。   安全继电器是由数个继电器与电路组合而成,为的是要能互补彼此的异常缺陷,达到正确且低

小***库 4年前 上传643   0

新飞电器有限公司

新飞电器有限公司    要吃饭找市场——紧盯市场抓管理。    用户永远是正确的。    下道工序即用户。    不重视质量的工人不是好工人。   不重视质量的干部不是好干部。   不重视质量的干部不能当干部。    今天工作不努力,明天努力找工作。    货比三家,择优择廉。    以成就论英雄。    允许失败,不允许不创新。      新飞

柳***芽 9年前 上传11145   0

电器购销合同

家电购销合同 供方乙方:                                        需方甲方:                                                             供需双方本着互惠互利,风险共担,共同开发市场的原则,达成如下协议:一、 买卖货物的基本内容及金额货物名称、单价、数量见附表一 

王***梅 9年前 上传543   0

在国美电器工作的实习报告

在国美电器工作的实习报告  暑假开始之后,我并没有回家,而是参加社会实习了。这一次我实习的地方是国美电器,作为中国最大的电器销售商,我感觉能够来到国美实习,是十分的荣幸和光荣的。真希望在毕业之后也能够在国美工作。不过实习中我要做好的事情还有很多,我相信在实习中我能够学到更多的知识。  很荣幸能够在国美实习,在这半个多月的实习工作中不仅熟悉索爱手机同时还学习了销售的实战知识,获得了经验,更

m***i 11年前 上传535   0

电器销售合同

电器销售合同  供方(以下简称甲方):   需方(以下简称乙方):  经甲乙双方协商,就乙方向甲方购买节电器产品事宜达成如下协议:  一、购买产品清单:  序号产 品 名 称规 格 型 号数量单价小计   1   2   3   4   合计(人民币大写):   二、甲方的权利和责任:  甲方有权了解乙方的用电设备的详细资料。  甲方负责对乙方

h***i 9年前 上传563   0

电器销售合同

电器销售合同 供方(以下简称甲方): 法定(或授权)代表: 住所: 联系方式: 需方(以下简称乙方): 法定(或授权)代表: 住所:

山***1 5年前 上传831   0

电器公司岗位职责2021电器工作职责

电器公司岗位职责2021电器工作职责1.负责车间现场工装设计、审图、定价、发外加工、现场调试。2.负责制订工厂设备年度、月度保养检修计划,组织检修、修理记录整理。3.负责推行企业设备TPM管理,组织活动开展、宣传,指导班组推广应用。4.负责员工操作规程细则制定及员工设备维护培训等。5.负责现场设备故障疑难问题处理技术指异。6.参与公司技术改造工作。电器公司岗位职责21.协助编制制

g***o 2年前 上传380   0

运动控制系统课程设计龙门刨床电气控制系统设计

运动控制系统课程设计学 院 : 专业班级 : 学 号 姓 名 : 目录第一章 课程设计任务及要求 11.1 设计目的 11.2 设计内容 11.3 设计题目及要求 1第二章 龙门刨床电气控制系统设计方案论述 32.1 引言 32.2 直流电动机调速方法 32.3 开环直流调速系统 42.4 开环直流调速系统 42.5 直

文***品 2年前 上传446   0

房间怀念歌星黄家驹晚会主持词

房间怀念歌星黄家驹晚会主持词第一篇:房间怀念歌星黄家驹晚会主持词房间怀念歌星黄家驹晚会主持词开幕词男:尊敬的各位领导,各位嘉宾:大家晚上好! 我是今晚的在线主持人秋歌,欢迎大家光临“粤语怀旧经典“房间怀念歌星黄家驹晚会现场。女:亲爱的女士们、先生们:大家晚上好! 我是今晚的在线主持人江心月,欢迎大家光临“粤语怀旧经典“房间怀念歌星黄家驹晚会现场。男:1993年6月30日中

e***g 10年前 上传431   0

费马的房间观后感(精选多篇)

费马的房间观后感(精选多篇)第一篇:费马点费马点定义费马点定义费马点定义费马点定义 在一个多边形中,到每个顶点距离之和最小的点叫做这个多边形的费马点费马点费马点费马点。在平面三角形中: (1).三内角皆小于三内角皆小于三内角皆小于三内角皆小于120°的三角形的三角形的三角形的三角形,,,,分别以分别以分别以分别以 ab,bc,ca,,,,为边为边为边为边,,,,向三角形外侧做正三角形向三

n***i 12年前 上传461   0

逃出公文包房间攻略

逃出公文包房间攻略第一篇:lightbulb escape walkthrough逃出白炽灯房间攻略lightbulb escape walkthroughclick the lampshade -- there is a lightbulb, but it is too hot to takenote the door has a space to enter a code c

w***s 11年前 上传808   0

具有洁净要求的房间常规内装修做法

一、 具有洁净要求的房间常规做法装修部位序号做法详细做法应用房间备注楼地面1环氧无溶剂防静电重载楼面1. 3厚无溶剂环氧防静电涂层;2. 腻子(配套产品);3. 5厚发泡橡胶或电子交联发泡聚乙烯减振垫层;4. 钢筋混凝土楼板。研发试验室、2环氧防静电重载地面(503厚)1. 3厚无溶剂环氧防静电涂层2. 腻子(配套产品);3. 200厚

文***享 3年前 上传659   0

一年级我的房间200字作文(6篇)

一年级我的房间200字作文(6篇)  欢迎借鉴参阅,下面是优悦小生精心为大家收集整理的资料,下载后可以自行删除、添加、修改等编辑,希望对您的使用有所帮助,您的努力学习必定能创造更美好的未来!一年级我的房间200字作文1  我有一间自己的房间。  我现在的房间是一间有门窗的房间,我的故事书、玩具熊可可和我的宝贝都住在里面。爸爸和妈妈常常来教我做功课、婆婆把我的房间收拾得干干净净。我十分喜欢

优***生 2个月前 上传109   0