| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

基于AT89C51单片机的多人抢答器设计说明书(无仿真)

文***享

贡献于2021-01-05

字数:10059

 单位代码
学  号
分 类 号
密 级

单片机课程设计


基AT89C51单片机抢答器设计



学 院 名 称: 工 学 院
专 业 名 称: 机械设计制造动化
学 生 姓 名:
学 生 学 号:
指 导 老 师:

2014 年 X 月 X 日

着社会断进步电子技术飞速前发展特规模集成电路发展带进电子化时代电子产品日益增生活越越方便特计算机发展真谓突飞猛进1946年世界第台计算机诞生六十年时间计算机取举世瞩目成绩特通信领域实现信息体化时代作学生更需加强实践力培养课程设计定程度反映理知识理解程度理实践桥梁仅锻炼动手力够培养问题思考力知识进步解学知识化作现实东西时力提升新台阶
抢答器智力竞赛参赛者答题时进行抢答设计种优先判决器电路广泛应种知识竞赛文娱活动等场合够实现抢答器功方式种采前期模拟电路数字电路模拟数字电路相结合方式种方式制作程复杂准确性性高成品面积安装维护困难节介绍种利51单片机作核心部件进行逻辑控制信号产生八路抢答器年着单片机档次断提高功断完善应日趋成熟应领域日趋扩特工业测控尖端武器日家电等领域更单片机生辉增色单片机应技术已成项新工程应技术次课程设计做八路抢答器采STC89C51单片机实现抢答功性更稳定更易操作调试
关键词:路数字抢答器 STC89C51 PROTEUS

目 录

1 绪……………………………………………………………………………………1
2 系统设计容…………………………………………………………………………2
21 设计………………………………………………………………………2
22 设计目………………………………………………………………………2
3 硬件设计……………………………………………………………………………4
31 系统工作介绍……………………………………………………………………4
32 抢答器原理………………………………………………………………………5
33 功模块电路……………………………………………………………………6
331 开始抢答电路……………………………………………………………6
332 选手抢答键………………………………………………………………6
333 显示器现实驱动电路…………………………… ……………………7
334 蜂鸣音频输出电路…………………………………………7
4 软件设计……………………………………………………………………………… 8
41 程序设计……………………………………………………………………… …8
411 系统流程图………………………………………………………………8
412 显示抢答器违规流程图…………………………………………………9
412 抢答器成功流程图………………………………………………………9
5 Proteus仿真………………………………………………………………………10
结…………………………………………………………………………………11
致谢…………………………………………………………………………………12
参考文献…………………………………………………………………………13
附录14
附录A…………………………………………………………………………14
附录B…………………………………………………………………………23

1 绪
单片机作计算机重分支应范围广发展快已成现代电子技术计算机应网络通信动控制计量测试数采集信号处理等技术中日益普项新兴技术应范围十分广泛计算机专业学生说暂时没事单片机应开发学单片机重意义学仅事该方面开发基础方面单片机作微型计算机种类麻雀五脏俱全作微型计算机简化模型学单片机加深微型计算机工作原理理解更加清楚计算机脉络时提供实际应手段21世纪信息技术飞速发展时代智力竞赛种形式较活泼教育方式休闲娱乐生活部分竞赛方面充实娱乐生活方面提高努力汲取相关领域知识兴趣智力竞赛参赛选手间规定时间规定点相互竞争赛
抢答种竞赛常种形式抢答赛中持宣布抢答开始确定选手抢答题权具体答题时间时设定果仅持观判断容易出现误判情况竞赛中抢答器扮演非常重角色

2 系统设计容
21系统设计
抢答器计数器寄存器集成定时器译码显示等组合时序电路组成分抢答电路定时电路报警电路等单元部分单元电路分处理抢答竞赛中基问题
次课程设计设计路定时抢答器两位选手参赛抢答器具锁存显示功时持控制系统清零抢答开始抢答开始名选手动抢答钮抢答器会显示该选手编号直系统持清零扬声器发出提示时抢答效次设计抢答器动定时功持设定选手答题时间持启动开始键定时器会动减计时会显示显示器选手抢答时间抢答效答题时间没选手答题时间时报警电路会发出警报亮灯禁止抢答
22 设计目
单片机核心设计8位竞赛抢答器
1)抢答器时供8名选手8代表队赛分8钮S0S7表示
2)设置系统清抢答控制开关S该开关持控制
3)抢答器具锁存显示功选手动钮锁存相应编号优先抢答选手编号直保持持系统清止
4)抢答器具定时抢答功次抢答时间持设定(30s等)持启动开始键定时器进行减计时时扬声器发出短暂声响声响持续时间05s左右
5)参赛选手设定时间进行抢答抢答效定时器停止工作显示器显示选手编号抢答时间保持持系统清止
6)果定时时间抢答次抢答效系统报警禁止抢答定时显示器显示00


3 硬件设计
31 系统工作介绍
系统采单片机作整控制核心控制系统:显示模块控制模块报警模块抢答模块组成工作时该系统通矩阵键盘输入抢答信号单片机处理输出控制信号利4位数码完成显示功伴蜂鸣器报警键选手进行抢答数码显示组先答题实现整抢答程
持开始键时单片机P32引脚输入低电信号表示整电路开始工作时数码前两位显示选手编号(抢答显示00)两位显示倒计时剩余时间25秒然抢答蜂鸣器5秒发出连续报警提示抢答时间快结束30秒抢答抢答成功选手编号显示数码前两位两位显示抢答剩余时间时蜂鸣器发出声报警提示没抢答选手题已抢答成功抢答程中遇见特殊情况持通时间加时间减键进行时间调节开始新轮抢答持复位键开始键
次单片机控制抢答器思路简单明操作性强性高扩展功强够完全实现普通抢答器基功

图21 单片机抢答器设计方案

32 抢答器原理
抢答器工作原理采单片机系统程序查询方式采动态显示组号持开始抢答键抢答持没开始抢答纽(P30)抢答抢答违规报警显示组号持开始抢答开关重新抢答持开始抢答纽(P30)蜂鸣响声提示数码30秒倒计时抢答蜂鸣器响声提示显示组号30秒抢答开始60秒倒计时(60秒必须回答完问题)五秒倒计时警报单片机系统抢答键模块(四位行数码显示)显示模块显示驱动模块抢答开关模块蜂鸣器音频输出模块


图23抢答器总原理图

33 功模块电路
331开始抢答电路
次课程设计电路中问题结束持复位开关进行题准备


图241开始抢答电路

332 选手抢答键
89C51P1口做选手抢答输入键引脚P10P17轮流输出低电位选手编号18选手钮时P1口端口电变化P1口输入单片机处理P0输出数码显示抢答者编号

图242 选手抢答电路
333 显示显示驱动电路
电路包括显示驱动显示采数码驱动P2口 违规者编号抢答30秒倒计时正常抢答者编号回答问题时间60秒倒计时数码采动态显示驱动电路P2口查询显示程序利P0口做段选码口输出P2低3位做位选码输出低电驱动数码显示数字+5V电压接1k电阻保证正常压降

图243 示显示驱动电路


334 蜂鸣器音频输出电路
通控制频率矩形脉控制蜂鸣器发声次课程设计中需简单提示声音稍微显眼灯控抢答违规开始抢答抢答时间结束回答时间提示声亮灯提醒

图234蜂鸣器音频输出电路
4 软件设计
41 程序设计
411 系统流程图
开 始
初始化
读键盘否键

中断条件否满足

调显示抢答违
规报警子程序

进入中断程序

开中断响声提示

设定定时器值
启动定时器

30秒抢答时间显示
显示

调读键子程序延时程序

否键

60秒中断返回

调抢答者获回答问题子程序


412 显示抢答违规流程图

开 始
报警直提示
显示违规者编号

413 抢答成功流程图

开 始
响声提示
设置定时器初值启动
显示抢答者30秒倒计时
60秒答问题时间响声提示
RET


5 proteus仿真
软件设计调试实行分模块实现方法设计软件调试中分模块包括显示功模块调整时间功模块抢答功模块报警功模块独立模块功调试成功模块程序通程序合起合总程序进行调试软件模块首先通PC仿真器进行软件调试仿真效果符合求烧写进单片机否实际电路板正常工作设计通利Proteus仿真编写程序wave软件编译仿真原理图见图

图5 proteus仿真图


接触单片机直觉单片机非常难次课程设计开始老师布置题目选择象面发现八路抢答器脑海中根没点意象网搜资料感觉懂问老师弄面发现老师意见原程序图方便两者综合调试运行发现行通便开始忐忑正文模版路快搞完面发现实程序图细细弄懂写报告程序图细细剖析遍慢慢画出流程图然慢慢流程图proteus图画截取段时间电脑前面驻守觉肯付出努力发现实东西难懂提升动手力独立思考力

致 谢

值文完成际谨予帮助指导老师学朋友致衷心感谢首先感谢朱煜钰老师篇文基思想程序实现极帮助鼓励感谢授课位老师渊博知识教育正教育利完成篇文章表示深深谢意感谢黄河科技学院学院位领导教育培养够利完成学业时门感谢四年起学学文完成程中家建议帮助里衷心感谢
机会直默默支持关心父母友表示感谢祝身体健康

参考文献

[1] 康华光邹寿彬编电子技术基础数字部分(第四版)[M]北京高等教育出版社2005
[2] 谢美编电子线路设计·实验·测试 (第二版) [M]海电子工业出版社2001
[3] 立民MCS51系列单片机应系统设计系统配置接口技术[M]北京:北京航空航天学出版社1999年
[4] 陆坤奚李权等电子设计技术[M]成电子科技学出版社1997年[5] 立民MCS51系列单片机应系统设计系统配置接口技术[M]北京:北京航空航天学出版社1999年
[5] 胡学海单片机原理应系统设计[M]北京:京电子工业出版社2005年
[6] 林凌李刚丁茹李霞新型单片机接口器件技术[M]西安西安电子科技学出版社2005年
[7] 李青编单片机原理接口技术北京航天航空学出版社1994年
[8] 立民编单片机应设计北京航天航空学出版社1990年
[9] 邹逢兴编著计算机硬件技术基础实验教程高等教育出版社1994年
[10] 裴亚男付智辉编数字逻辑西南交通学出版社2005年
[11] 李海编74系列芯片手册 重庆学出版社 1999年

附录 A (源程序)
程序:
void main()
{
init()
if(k0) 持开始键
{
Timer()
delay(10)
while(k)
while(1)
{
dis()
m0
n0
if(second10){beep1delay(500)beep0}
if(second0) {
TR00n1
if(k0)
{beep1delay(500)beep0second29TR01m1}
}
if(n0)
{
if(k10) {delay(5)if(k10)while(k1)t1}
else if(k20) {delay(5)if(k20)while(k2)t2}
else if(k30) {delay(5)if(k30)while(k2)t3}
else if(k40) {delay(5)if(k40)while(k2)t4}
else if(k50) {delay(5)if(k50)while(k2)t5}
else if(k60) {delay(5)if(k60)while(k2)t6}
else if(k70) {delay(5)if(k70)while(k2)t7}
else if(k80) {delay(5)if(k80)while(k2)t8}
else if(k0) {delay(5)if(k0)while(k)t0i0second29beep1delay(500)beep0TR01}
}
while(t)
{
if(m0) 开关保证程序执行次
{
switch(t)
{
case 1
{
TR00i1second0beep1delay(500)beep0
a10a21a31a41a51a61a71a81m1break
}
case 2
{
TR00i2second0beep1delay(500)beep0
a20a11a31a41a51a61a71a81m1break
}
case 3
{
TR00i3second0beep1delay(500)beep0
a30a11a21a41a51a61a71a81m1break
}
case 4
{
TR00i4second0beep1delay(500)beep0
a40a11a21a31a51a61a71a81m1break
}
case 5
{
TR00i5second0beep1delay(500)beep0
a50a11a21a31a41a61a71a81m1break
}
case 6
{
TR00i6second0beep1delay(500)beep0
a60a11a21a31a41a51a71a81m1break
}
case 7
{
TR00i7second0beep1delay(500)beep0
a70a11a21a31a41a51a61a81m1break
}
case 8
{
TR00i8second0beep1delay(500)beep0
a80a11a21a31a41a51a61a71m1break
}
}
}


if(a10) 选手1分数
{ secondscore1
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score1+1if(score199)score160}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score11if(score10)score160}
dis()
}

else if(a20)
{ secondscore2
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score2+1if(score299)score260}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score21if(score20)score260}
dis()
}

else if(a30)
{ secondscore3
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score3+1if(score399)score360}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score31if(score30)score360}
secondscore3
dis()
}

else if(a40)
{
secondscore4
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score4+1if(score499)score460}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score41if(score40)score460}
secondscore4
dis()
}

else if(a50)
{
secondscore5
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score5+1if(score599)score560}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score51if(score50)score560}
secondscore5
dis()
}

else if(a60)
{
secondscore6
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score6+1if(score699)score660}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score61if(score60)score660}
secondscore6
dis()
}

else if(a70)
{
secondscore7
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score7+1if(score799)score760}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score71if(score70)score760}
secondscore7
dis()
}

else if(a80)
{
secondscore8
dis()
if(m10) {delay(5)if(m10)while(m1)beep1delay(100)beep0score8+1if(score899)score860}
else if(m20) {delay(5)if(m20)while(m2)beep1delay(100)beep0score81if(score80)score860}
secondscore8
dis()
}

if(k0){i0t0second29beep1delay(500)beep0TR01}
while(k)

}

}
}
}

定时器子程序:
void Timer()
{
TMOD|0x01
TH00xd8 初值55536计数10000次次1US总计10ms
TL00xf0
IE0x82 里中断优先级控制EA1(开总中断)ET01(定时器0允许中断)里定时器0定时
void tim(void) interrupt 1 using 1 定时中断TR0
{
TH00xd8 重新赋值
TL00xf0
count++
if(count100) 100*10ms1秒
{
count0
second 秒减1
}
}

延时子程序:
void delay(uint z) 延时函数
{
uint xy
for(xzx>0x)
for(y110y>0y)
}
显示子程序:
void display (uchar shiweigeweixuanshou) 显示函数
{
P20xfe 开显示时间十位位选
P0shiwei显示十位
delay(5)

P20xfd 位位选
P0gewei
delay(5)

P20xf7 选手位选
P0xuanshou
delay(5)
}
附录 B (抢答器原理图)






文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

基于AT89C51单片机的多人抢答器设计说明书(无仿真)

 单位代码 学  号 分 类 号 密 级 单片机课程设计 基于AT89C51单片机的多人抢答器设计学 院 名 称: 工 学 院 专 业 名 称: 机械设计制造及其自动化 学 生 姓

文***享 3年前 上传638   0

基于AT89C51单片机的简易计算器的设计

 毕 业 设 计 题目 简易计算器的制作 专 业 通信工程 目 录 摘 要 1 Abstract 2 第一章 绪论 3 1.1 开发背景 3 1.2

山***1 5年前 上传2359   0

基于51单片机的8路智能抢答器

抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。

一***纱 6年前 上传2349   0

基于单片机的时钟设计

随着时间的推移,事物的发展越来越趋向于高科技,单片机的出现,受到了社会各界的广泛关注,这是由于单片机具备丰富的功能。单片机的特点为:尺寸小,价格低,抗干扰强,开发容易等。运用的范围也很广,如检测,仪表,电子,机电等设备的各方面都有不可或缺的作用。

王***朝 4年前 上传1040   0

基于matlab的电力电子仿真设计报告

课程设计(综合实验)报告( 2010-- 2011 年度第 1 学期)名 称:电力电子技术课程设计院 系:电气与电子工程学院班 级: 电气班 学 号: 学生姓名: 指导教师: 设计周数: 20--21周 成

文***品 4年前 上传980   0

基于51单片机的定时闹钟设计单片机课程设计

单片机 课 程 设 计 报 告 院系: 信息工程学院 专业: 电子信息工程 课程设计题目: 基于51单片机的定时闹钟设计

文***品 2年前 上传498   0

AT89C51单片机智能风扇

AT89C51单片机智能风扇摘要随着人们生活水平及科技水平的不断提高,现在家用电器在款式、功能等方面日益求精,并朝着自动化、智能化、环保化、和人性化等方向发展。过去的电器不断的显露出其不足之处。电风扇作为家用电器的一种,同样存在类似的问题。现在电风扇的现状:大部分只有手动调速和手动开启、关闭风扇,再加上一个定时器,功能单一。存在的隐患或不足:比如说人们常常离开后忘记关闭电风扇,浪费电且不

文***享 4年前 上传715   0

基于AT89C51单片机的鸡舍温度控制系统

基于AT89C51单片机制作一个温度控制器,用于自动接通电加热或制冷设备,从而使鸡舍内温度达到设想温度的要求,并能实时显示室内温度。当室内温度低于设定下限温度时,控制器连通电加热设备,当室内温度等于设定下限温度时,控制器断开电加热设备;当室内温度大于设定上限温度时,控制器接通电制冷设备,当室内温度等于设定上限温度时,控制器断开电制冷设备。

y***6 6年前 上传14648   0

开题报告-基于单片机的交通灯设计

开题报告电气工程及自动化基于单片机的交通灯设计一、综述本课题国内外研究动态,说明选题的依据和意义当今社会,随着日益增长的社会发展,人们对于社活的水平也日益提高,不仅在物质享受方面有所提高,在精神享受方面也逐渐增长。最明显的就是汽车的增长。现在几乎每户人家都有一辆汽车,以至于道路经常堵塞,频繁出现交通事故。由于这种状况的出现,这就要求能有效的管理交通,其中十字路口的交通灯起着巨大的作用。因

毕***阁 4年前 上传1255   0

基于单片机的数控恒流源设计

该数控直流恒流源采用模块化,主要由单片机控制模块、键盘输入模块、A/D转换模块、恒流源模块、D/A转换模块和显示模块六部分组成。通过开关和按钮的设置,配合AT89S52单片机的编程实现数字控制及数字显示,同时用DAC0832实现D/A转换,实时把采样电路上的模拟信号转换成数字信号,形成反馈,输出模拟控制电压,再用运算放大器组成电流负反馈系统来完成输出电流控制及恒定。

👾***5 3年前 上传914   0

基于单片机的电子琴设计

综合课程设计题 目:可演奏的电子琴 学 院:管理科学与工程学院可演奏的电子琴摘要:随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音

天***猩 4周前 上传80   0

基于凌阳单片机的红外通信接口设计

科 研 训 练 报 告报告题目:基于凌阳单片机的红外通信接口设计姓 名 院(系) 电气与电子工程学院 专 业 自动化 年 级

文***品 2年前 上传396   0

基于单片机的万年历设计

 基于单片机的万年历设计 二、实验要求设计一个万年历,将时钟显示在LCD1602的显示屏上并且可以进行年、月、日以及时、分、秒的设置。此外还可以通过按键进行闹钟设置以及事件提醒功能,用蜂鸣器进行闹铃提醒。最后附加一个温湿度检测的功能,用温湿度传感器检测室内的温湿度并将温湿度数据在显示屏上显示出来。三、实验设备和仪器1.用 STC89C52芯片作为

文***品 3年前 上传684   0

基于单片机的程控放大器设计

 毕业设计(论文) 基于单片机的程控放大器设计 专 业: 电子科学与技术 摘 要 本设计由直流稳压电源、前置放大电路单元、增益控制部分、功率放大部分、单片机自动增益控制部分几个模块构成。输入部分采用高速电压反馈型运放OPA642作跟随器提高输入阻抗,并且在

山***1 5年前 上传1674   0

基于单片机的果实品质分类装置设计

机器视觉简而言之就是利用摄像头拍摄实际图像,通过摄像头模块自动将图像信息转化为对应的电信号,输送给单片机逻辑处理,以此来达到对图像的智能化识别。果实采摘系统中使用机器视觉较多。

爱***享 3年前 上传2077   0

基于单片机的智能小车设计开题报告

电气与信息学院毕业设计(论文)开题报告 基于单片机的智能小车设计 电子 题目名称:报 告 人:专业班级:指导教师:《基于单片机的智能小车设计》开题报告一、课题的

文***品 5个月前 上传315   0

基于单片机智能小车毕业设计

毕 业 设 计(论 文)设计(论文)题目: 基于单片机的智能小车 学 院 名 称: 电子与信息工程学院 专 业: 电子与信息工程

文***品 6个月前 上传202   0

基于单片机的智能灭火小车设计

摘要:当今社会,火灾在现实生活中普遍存在,被称为自然界三大灾害之一。当火灾发后,灭火工作坏境恶劣时,人工不能完成一些灭火任务,此时便可以使用智能灭火小车去完成相应的任务。本文设计了一个以单片机为核心的智能灭火小车。本设计是利用单片机作为灭火的控制中心,检测火源用火焰传感器

王***朝 4年前 上传1503   0

单片机课程设计报告基于单片机的温控系统

职业学院机械与电子工程学院基于单片机温控系统课程设计报告班 级 电信班 学生姓名 学 号 指导教师 时 间 2011 目录摘 要 I1系统方案设计及组成 I2系统设计 1

文***品 3年前 上传1001   0

基于STM32的四路抢答器课程设计

课 程 设 计 说 明 书题目: 基于STM32的四路抢答器 学 院: 年级专业: 学 号: 学生姓名: 指导教师: 摘要在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板

文***享 1年前 上传359   0

基于STM32的多路抢答器设计与制作

 基于STM32的多路抢答器设计与制作 Design and Make of Multiple Answering Device on STM3内容摘要抢答不仅仅需要参与者,还需要裁判,而裁判的作用是整个过程

平***苏 2年前 上传841   0

基于AT89C51的PWM信号发生器设计报告课程设计

基于AT89C51的PWM信号发生器设计摘 要 单片机集成度高,功能强,可靠性高,体积小,功耗低,使用方便,价格低廉等一系列优点,目前已经渗入到人们工作和生活的方方面面,几乎无处不在,无所不为。单片机的应用领域已经从面向工业控制,通讯,交通,智能仪表等迅速发展到家用消费产品,办公自动化,汽车电子,PC机外围以及网络通讯等广大领域。 单片机有两种基本结构形式:一种是在通用

文***享 3年前 上传628   0

基于单片机的拔河游戏机设计课程设计

××大学××学院××课程设计基于单片机的拔河游戏机设计学生姓名学 号所 在 系专业名称班 级指导教师成 绩 ××大学××学院二○一二年X月摘要:近年来随着计算机在社会领域的渗透和大规模集成电

文***享 3年前 上传585   0

基于AT89S51单片机无线传输系统设计毕业设计

毕业论文(设计) 题 目: 单片机无线传输系统设计 完 成 人: 班 级: 学 制: 专

文***享 4年前 上传879   0

基于单片机的数字温度计设计课程设计

基于单片机的数字温度计设计引言随着现代信息技术的飞速发展和传统工业改造的逐步实现.能够独立工作的温度检测和显示系统应用于诸多领域。传统的温度检测以热敏电阻为温度敏感元件。热敏电阻的成本低,但需后续信号处理电路,而且可靠性相对较差,测温准确度低,检测系统也有一定的误差。与传统的温度计相比,这里设计的数字温度计具有读数方便,测温范围广,测温精确,数字显示,适用范围宽等特点。选用AT89C51型单

文***享 3年前 上传945   0