| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

数字电路课程设计电子数字钟+闹铃

文***品

贡献于2021-04-24

字数:13840








数字电路课程设计












院系:
专业: 电子信息工程
姓名:
学号:
完成日期:2009









数字钟设计
系统功概述
()系统实现功:
1具时分秒十进制数字显示(时00 ~ 23)
2具手动校时校分校秒功
3定时闹钟功够设定时间发出闹铃声
4进行整点报时59分50秒起隔2秒发次低音嘟信号连续5次次高音嘀信号
(二)项设计指标:
1显示部分采6LED显示器高位低位分显示时分秒
2设置调闹钟定时时间正常时间钮选择调象
3三钮分调时分秒时间
4钮作开启关闭闹铃
5外需两时钟信号系统提供脉信号时钟闹钟正常工作分1Hz1kHz脉

二系统组成系统部分设计
1系统结构描述 求:系统(顶层文件)结构描述模块(子程序)功描述
() 系统顶层文件:
1 顶层文件图:(见页)
2 模块解释:
(1)7输入量clk_1khzclk_1hzkey_sltkey_alarmsec_setmin_sethour_set:
中clk_1khz闹铃模块提供时钟处理产生嘟嘀变化闹铃声音clk_1hz计时模块提供时钟信号秒计数次key_slt选择设置象:定时正常时间key_alarm够开启关闭闹铃sec_setmin_sethour_set设置时间定时key_slt相关联键输出脉信号
(2)CNT60_A_SEC模块:
模块式clk_1hz时钟信号进行60进制计数产生分钟触发信号该模块前计数值实时BCD码格式输出该输出接两位LED数码时时显示秒状态通alarm_clk选择设置象时间定时值设置时间模式key输入脉clk输入信号加设置定时模式key脉修改定时值影响时间脉clk状态
时该模块具两输出口out_doout_di触发整点报时嘟嘀声音
(3)CNT60_A_MIN模块:
模块式CNT60_A_SEC输出信号进行60进制计数产生时位触发信号该模块前计数值实时BCD码格式输出该输出接两位LED数码时时显示分状态通alarm_clk选择设置象时间定时值设置时间模式key输入脉clk输入信号加设置定时模式key脉修改定时值影响时间脉clk状态
时该模块具三输出口out_doout_diout_alarm触发整点报时嘟嘀闹铃声音

(4)CNT24_A_HOUR模块:
模块式CNT60_A_MIN输出信号做24进制计数该模块前计数值实时BCD码格式输出该输出接两位LED数码时时显示时状态通alarm_clk选择设置象时间定时值设置时间模式key输入脉clk输入信号加设置定时模式key脉修改定时值影响时间脉clk状态
时该模块具输出口out_alarm触发整点报时闹铃声音
(5)PWM_OUT模块:
该模块PWM产生模块通EN开启关闭PWM输出模块根CLK信号二分频产生高低音组合输出三种声音状态——嘟嘀闹铃该三种声音秒分时输出触发输出PWM
(二) 系统模块VHDL程序:
(1)CNT60_A_SEC模块:

程序源代码:
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity cnt60_a_sec is
port(
clkclrenb in std_logicclk:时钟输入信号clr:清零端enb:端
key in std_logic 输入键脉调整闹铃定时时间
alarm_clk in std_logic1alarm 0clk 设置模式选择:闹铃调节模式时间调节模式
qout_sl out std_logic_vector(3 downto 0) 显示输出秒低位
qout_sh out std_logic_vector(3 downto 0)显示输出秒高位
co out std_logic 进位输出触发分计数模块
out_do out std_logic整点报时中输出嘟触发信号
out_di out std_logic 整点报时中输出嘀触发信号
)
end
architecture a of cnt60_a_sec is
signal qout2_lstd_logic_vector(3 downto 0)
signal qout2_hstd_logic_vector(3 downto 0)
signal alarm_lstd_logic_vector(3 downto 0)
signal alarm_hstd_logic_vector(3 downto 0)
signal clk1clk2tclkaclkac_slt std_logic
begin
process(alarm_clk) 该端口输入脉时修改设置模式:时间调整闹铃模式切换
begin
if alarm_clk'event and alarm_clk'1' then
if ac_slt'0' then果定时模式改闹铃模式
ac_slt<'1'
else
ac_slt<'0'
end if
end if
end process

process(keyclkac_slt)根设置模式处理key脉信号
begin
if ac_slt'0' then 时间调整模式
aclk<'0'
if clk'1' and key'1' then clk1tclk<0通挖洞方式添加脉
tclk<'0'
elsif clk'0' and key'1' then clk0tclk<1产生高电添加脉
tclk<'1'
else
tclk end if
elsif ac_slt'1' then 闹铃调整模式
tclk aclk end if
end process

process(tclkclrenb) 60进制计数位十位放两时变量中表示秒状态
begin
if clr'1' thenclearing works at the state of high voltage
qout2_l<0000
qout2_h<0000
elsif tclk'event and tclk'1' then
if enb'1' thenenable works at high voltage
if qout2_l1001 and qout2_h0101 then
qout2_l<0000a full mode is completed and a carryout is generated
qout2_h<0000
elsif qout2_l1001 then
qout2_l<0000
qout2_h else
qout2_l end if
end if
end if
end process

process(aclkclrenb)修改闹铃定时值
begin
if clr'1' thenclearing works at the state of high voltage
alarm_l<0000
alarm_h<0000
elsif aclk'event and aclk'1' then
if enb'1' thenenable works at high voltage
if alarm_l1001 and alarm_h0101 then
alarm_l<0000a full mode is completed and a carryout is generated
alarm_h<0000
elsif alarm_l0101 then
alarm_l<0000
alarm_h else
alarm_l end if
end if
end if
end process

process(qout2_lqout2_halarm_lalarm_halarm_clk) 产生进位显示时间闹铃定时值
begin
if qout2_l0000 and qout2_h0000 then
co<'1'
else
co<'0'
end if
if ac_slt'0' then 显示时间
qout_sl qout_sh else 显示定时值
qout_sh qout_sl end if
end process

process(qout2_lqout2_h) 根秒状态输出嘟嘀触发信号
begin
if qout2_h0101 then
if qout2_l0000 then
out_do<'1'
elsif qout2_l0010 then
out_do<'1'
elsif qout2_l0100 then
out_do<'1'
elsif qout2_l0110 then
out_do<'1'
elsif qout2_l1000 then
out_do<'1'
else
out_do<'0'
end if
elsif qout2_h0000 then
if qout2_l0000 then
out_di<'1'
out_do<'0'
else
out_di<'0'
end if
else
out_do<'0'
out_di<'0'
end if
end process
end
(2)CNT60_A_MIN模块:

library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall

entity cnt60_a_min is
port(
clkclrenb in std_logic clk:时钟输入信号clr:清零端enb:端
key in std_logic 输入键脉调整闹铃定时时间
alarm_clk in std_logic 1alarm 0clk 设置模式选择:闹铃调节模式时间调节模式
qout_ml out std_logic_vector(3 downto 0) 显示输出分低位
qout_mh out std_logic_vector(3 downto 0) 显示输出分高位
co out std_logic 进位输出触发时计数模块
out_alarmout std_logic闹铃触发信号时间输出高电触发闹铃
out_doout_di out std_logic整点报时中输出嘟嘀触发信号
)
end

architecture a of cnt60_a_min is
signal qout2_lstd_logic_vector(3 downto 0)
signal qout2_hstd_logic_vector(3 downto 0)
signal alarm_lstd_logic_vector(3 downto 0)
signal alarm_hstd_logic_vector(3 downto 0)
signal clk1clk2tclkaclkac_slt std_logic
begin

process(alarm_clk) 该端口输入脉时修改设置模式:时间调整闹铃模式切换
begin
if alarm_clk'event and alarm_clk'1' then
if ac_slt'0' then果定时模式改闹铃模式
ac_slt<'1'
else
ac_slt<'0'
end if
end if
end process


process(keyclkac_slt) 根设置模式处理key脉信号
begin
if ac_slt'0' then 时间调整模式
aclk<'0'
if clk'1' and key'1' thenclk1tclk<0通挖洞方式添加脉
tclk<'0'
elsif clk'0' and key'1' thenclk0tclk<1产生高电添加脉
tclk<'1'
else
tclk end if
elsif ac_slt'1' then 闹铃调整模式
tclk aclk end if
end process

process(tclkclrenb) 60进制计数位十位放两时变量中表示分状态
begin
if clr'1' thenclearing works at the state of high voltage
qout2_l<0000
qout2_h<0000
elsif tclk'event and tclk'1' then
if enb'1' thenenable works at high voltage
if qout2_l1001 and qout2_h0101 then
qout2_l<0000a full mode is completed and a carryout is generated
qout2_h<0000
elsif qout2_l1001 then
qout2_l<0000
qout2_h else
qout2_l end if
end if
end if
end process

process(aclkclrenb) 修改闹铃定时值
begin
if clr'1' thenclearing works at the state of high voltage
alarm_l<0000
alarm_h<0000
elsif aclk'event and aclk'1' then
if enb'1' thenenable works at high voltage
if alarm_l1001 and alarm_h0101 then
alarm_l<0000a full mode is completed and a carryout is generated
alarm_h<0000
elsif alarm_l0101 then
alarm_l<0000
alarm_h else
alarm_l end if
end if
end if
end process

process(qout2_lqout2_halarm_lalarm_halarm_clk) 产生进位显示时间闹铃定时值of high voltage
begin
if qout2_l0000 and qout2_h0000 then
co<'1'
else
co<'0'
end if
if ac_slt'0' then
qout_ml qout_mh else
qout_mh qout_ml end if
end process

process(qout2_lqout2_halarm_lalarm_h) –判断定时值时间值相等输出闹铃触发信号
begin
if qout2_lalarm_l and qout2_halarm_h then
out_alarm<'1'
else
out_alarm<'0'
end if
end process

process(qout2_lqout2_h) 根分状态输出嘟嘀触发信号
begin
if qout2_l1001 and qout2_h0101 then
out_do<'1'
else
out_do<'0'
end if
if qout2_l0000 and qout2_h0000 then
out_di<'1'
else
out_di<'0'
end if
end process
end
(3)CNT24_A_HOUR模块:

library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall

entity cnt24_a_hour is
port(
clkclrenb in std_logic clk:时钟输入信号clr:清零端enb:端
key in std_logic 输入键脉调整闹铃定时时间
alarm_clk in std_logic1alarm 0clk设置模式选择:闹铃调节模式时间调节模式
qout_hl out std_logic_vector(3 downto 0) 显示输出时低位
qout_hh out std_logic_vector(3 downto 0) 显示输出时高位
co out std_logic 进位输出
out_alarmout std_logi闹铃触发信号输出
)
end

architecture a of cnt24_a_hour is
signal qout2_lstd_logic_vector(3 downto 0)
signal qout2_hstd_logic_vector(3 downto 0)
signal alarm_lstd_logic_vector(3 downto 0)
signal alarm_hstd_logic_vector(3 downto 0)
signal clk1clk2tclkaclkac_slt std_logic
begin
process(alarm_clk) 该端口输入脉时修改设置模式:时间调整闹铃模式切换
begin
if alarm_clk'event and alarm_clk'1' then
if ac_slt'0' then果定时模式改闹铃模式
ac_slt<'1'
else
ac_slt<'0'
end if
end if
end process

process(keyclkac_slt) 根设置模式处理key脉信号
begin
if ac_slt'0' then 时间调整模式
aclk<'0'
if clk'1' and key'1' thenclk1tclk<0通挖洞方式添加脉
tclk<'0'
elsif clk'0' and key'1' thenclk0tclk<1产生高电添加脉
tclk<'1'
else
tclk end if
elsif ac_slt'1' then 闹铃调整模式
tclk aclk end if
end process

process(tclkclrenb) 24进制计数位十位放两时变量中表示时状态
begin
if clr'1' thenclearing works at the state of high voltage
qout2_l<0000
qout2_h<0000
elsif tclk'event and tclk'1' then
if enb'1' thenenable works at high voltage
if qout2_l1001 then
qout2_l<0000a full mode is completed and a carryout is generated
qout2_h elsif qout2_l0011 and qout2_h0010 then
qout2_l<0000
qout2_h<0000
else
qout2_l end if
end if
end if
end process

process(aclkclrenb) 修改闹铃定时值
begin
if clr'1' thenclearing works at the state of high voltage
alarm_l<0000
alarm_h<0000
elsif aclk'event and aclk'1' then
if enb'1' thenenable works at high voltage
if alarm_l1001 then
alarm_l<0000a full mode is completed and a carryout is generated
alarm_h elsif alarm_l0011 and alarm_h0010 then
alarm_l<0000
alarm_h<0000
else
alarm_l end if
end if
end if
end process

process(qout2_lqout2_halarm_lalarm_halarm_clk) 产生进位显示时间闹铃定时值of high voltage
begin
if qout2_l0000 and qout2_h0000 then
co<'1'
else
co<'0'
end if
if ac_slt'0' then
qout_hl qout_hh else
qout_hh qout_hl end if
end process

process(qout2_lqout2_halarm_lalarm_h)定时值时间值相等输出闹钟触发信号
begin
if qout2_lalarm_l and qout2_halarm_h then
out_alarm<'1'
else
out_alarm<'0'
end if
end process
end

2系统模块仿真波形
(1)系统仿真波形:

注:面模块仿真存毛刺导致系统仿真图定问题
(2)CNT60_A_SEC模块:

注:5052545658处嘟触发输出00处嘀触发输出等
(3)CNT60_A_MIN模块:

注:图展示键调节时间值定时值仿真波形(部分仿真图)

注:该模块仿真波形图具嘟嘀声音触发输出定时时间相等时闹铃触发输出等
(4)CNT24_A_HOUR模块

注:该模块仿真图包含键调整时间定时值闹钟触发输出等
(5)PWM_OUT模块(分三段剪切展示三种声音效果)
(1)嘟嘀输出波形(en脉开PWMO效)

注:开输出两路嘟嘀触发输入时效时分输出嘟嘀声音两路闹铃触发时效时开始闹铃声音输出

注:闹铃输出变化情况(嘀嘟交发声)

注:闹铃输出变化情况
3载时选择开发系统模式脚定义
表1 GW48CK开发系统工作模式:3
接口
名称
类型
(输入输出)
结构图
信号名
引脚号
说明
clk_1hz
输入
CLOCK0
2
时钟计数脉
clk_1khz
输入
CLOCK5
83
三种声音脉信号源
pwm_out
输入
SPEAKER
3
连接蜂鸣器发出声音
key_slt
输入
PIO7
16
切换定时调整模式时间调整模式
key_alarm
输入
PIO6
11
开启关断声音产生
hour_set
输入
PIO3
8
修改定时时间时数值
min_set
输入
PIO2
7
修改定时时间分钟数值
sec_set
输入
PIO1
6
修改定时时间秒数值
hour_h[30]
输出
PIO39PIO36
6561
时高位输出
hour_l[30]
输出
PIO35PIO32
605854
时低位输出
min_h[30]
输出
PIO31PIO28
5350
分钟高位输出
min_l[30]
输出
PIO27PIO24
494739
分钟低位输出
sec_h[30]
输出
PIO23PIO20
3835
秒高位输出
sec_l[30]
输出
PIO19PIO16
3027
秒低位输出

三课程设计程中遇问题解决方法
调整时间调整定时面遇非常困难开始想象样进程中加入时钟信号没够键脉直接时钟脉进行累加时VHDL语法熟悉花费量时间
解决方法通进程先两信号进行处理分产生aclktclk分定时调整部分时间调整部分提供时钟信号调整键脉信号正常时钟信号简单运算处理
1)果调整时间:
1 时钟信号高电键信号高电时tclk赋值0
2 时钟信号高电键信号低电时tclk赋值1
3 时钟信号低电键信号高电时tclk赋值1
4 时钟信号低电键信号低电tclk赋值0
样键脉高电低电者高低电两种状态出现终结果会产生脉信号导致计数值变化达修改时间目期间aclk始终变
2)果调整定时:
1时钟输入信号赋值tclk
2键输入信号赋值aclk
样键脉结束时定时调整信号会出现脉时钟信号受影响
外闹铃产生遇问题通产生周期较长信号切换输出值容嘟嘀效果嘟嘀声音交发出
仿真时数模块会出现毛刺会导致总仿真结果乐观会脉干扰加方面试图调久没解决实际载相较正常仿真中毛刺没消掉






























8路彩灯控制系统
系统功概述
()系统实现功:
1彩灯左右次点亮
2彩灯左右次熄灭
3彩灯右左次点亮
4彩灯右左次熄灭
5彩灯全亮
6彩灯全灭
(二)项设计指标:
1显示部分采8彩灯
2需时钟信号系统提供脉信号1Hz脉
二系统组成系统部分设计
1系统VHDL设计

library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity colorlight66 is
port(clkin std_logic
q out std_logic_vector(7 downto 0)
s out std_logic_vector(1 downto 0))
end
architecture one of colorlight66 is
signal cnt4std_logic_vector(1 downto 0)
begin
process(clk)
variable cntinteger range 0 to 34
begin
if rising_edge(clk)then
if cnt34 then
cnt0cnt4 else
cntcnt+1
end if
case cnt is
when 0 >q<00000000
when 1 >q<10000000
when 2 >q<11000000
when 3 >q<11100000
when 4 >q<11110000
when 5 >q<11111000
when 6 >q<11111100
when 7 >q<11111110
when 8 >q<11111111
when 9 >q<01111111
when 10>q<00111111
when 11>q<00011111
when 12>q<00001111
when 13>q<00000111
when 14>q<00000011
when 15>q<00000001
when 16>q<00000000
when 17>q<00000001
when 18>q<00000011
when 19>q<00000111
when 20>q<00001111
when 21>q<00011111
when 22>q<00111111
when 23>q<01111111
when 24>q<11111111
when 25>q<11111110
when 26>q<11111100
when 27>q<11111000
when 28>q<11110000
when 29>q<11100000
when 30>q<11000000
when 31>q<10000000
when 32>q<00000000
when 33>q<11111111
when 34>q<00000000
when others>null
end case
end if
end process
send


2 系统仿真波形












3载时选择开发系统模式脚定义
表1 GW48CK开发系统工作模式:3
接口
名称
类型
(输入输出)
引脚号
说明
clk_1hz
输入
2
彩灯驱动脉
q0
输出
54
彩灯1
q1
输出
58
彩灯2
q2
输出
59
彩灯3
q3
输出
60
彩灯4
q4
输出
61
彩灯5
q5
输出
62
彩灯6
q6
输出
64
彩灯7
q7
输出
65
彩灯8
S0
输出
80

S1
输出
81


三课程设计程中遇问题解决方法
实验较简单设计程中没遇什问题
四课程建议
种实验方式挺够提高学学理应实践力希够时开放喜欢学种编程更机会实现想法
时感觉仅仅通实验够发挥出方面潜希提供建议够机会做项目什


tgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaG


文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

数字钟课程设计心得

数字钟课程设计心得  一、设计目的   数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。   数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。   因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了

d***e 12年前 上传613   0

数字钟课程设计心得(精选多篇)

数字钟课程设计心得(精选多篇)第一篇:数字钟课程设计心得一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且

乐***呵 10年前 上传525   0

数字电路课程设计报告数字电子钟逻辑电路设计

 数字电路课程设计报告设计课题: 数字电子钟逻辑电路设计 班 级:电子科学与技术 姓 名: 学 号: 指导老师: 设计时间:2016年X月18日~20日 学 院:物理与信息工程学院内容摘要数字电子钟是一种用数字显示秒、分、时、日的计时装置,

文***享 1年前 上传261   0

24秒倒计时数字电路课程设计报告书

物理与电子信息学院数字电路课程设计报告书 姓名: 班级: 学号: 时间: 论文题目24秒倒计时电路设计课程论文要 求1.具有显示24

文***享 7个月前 上传163   0

简易数字频率计(数字电路课程设计)

数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1HZ—9.999KHZ,闸门时间1s;10 HZ—99.99KHZ,闸门时间0.1s;100 HZ—999.9KHZ,闸门时间10ms;1 KHZ—9999KHZ,闸门时间1ms;2)显示方式:四位十进制数3)当被测

文***品 3年前 上传795   0

veriloghdl电子琴课程设计

XX学院课程设计报告 题 目 Verilog hdl课程设计专 业 自动化 学生姓名 指导教师 完成时间 2015 课程设计(报告)任务书(理 工 科 类)课程设计(报告)题目:电子

文***享 3年前 上传608   0

电子商务课程设计报告

《电子商务课程设计1》——网上饰品销售系统 电子商务专业班 所在院:经济管理学院 班级:商务

文***品 3年前 上传637   0

电子钟课程设计心得

电子钟课程设计心得  这次电子技术课程设计,我很用心的去完成,当总原理图绘好的那一刻,心里有说不出的满足感。从这次课程设计中,我真正学到了很多有用的知识。  拿到课题后,我首先将《数字电子技术》中有关本次设计的内容复习了一遍,比如七段译码显示器、计数器、振荡器等等。然后根据设计要求,我去图书馆查阅了相关的资料,对整体框架做了一个初步的了解。做完准备工作后就正式开始设计与绘图。先要将没每一

a***3 12年前 上传600   0

电子图书馆网站设计课程设计

前 言电子图书馆又称为“虚拟图书馆〞、“数字图书馆〞、“桌面图书馆〞,也称为“没有围墙的图书馆〞。它是在实现人类和社会需要以及现代化开展的背景下产生的,是当今各类图书馆开展的趋势。现代社会开展、人类的需要不仅仅是文字信息,而是急需多媒体的信息,如语言、图象、视频、动画、数据和文本。而进入信息社会,信息是以大量数字形式存储和运输,最后在计算机上显示出来,这就是电子图书馆。电子图书馆一切工

郭***林 2年前 上传514   0

数字电子密码锁课程设计

课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位: 题 目: 《数字电子密码锁》 初始条件:利用集成集成芯片和门电路等设计一个数字电子密码锁。要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)(1

文***品 3年前 上传580   0

电子钟课程设计心得(精选多篇)

电子钟课程设计心得(精选多篇)第一篇:电子钟课程设计心得这次电子技术课程设计,我很用心的去完成,当总原理图绘好的那一刻,心里有说不出的满足感。从这次课程设计中,我真正学到了很多有用的知识。拿到课题后,我首先将《数字电子技术》中有关本次设计的内容复习了一遍,比如七段译码显示器、计数器、振荡器等等。然后根据设计要求,我去图书馆查阅了相关的资料,对整体框架做了一个初步的了解。做完准备工作后

缘***约 10年前 上传826   0

电工电子综合课程设计论文

 学校代码 10126 学号 电工电子综合课程设计论文题 目 D2-1型巡线小车的设计院 系

文***品 4年前 上传811   0

电力电子升压斩波电路的设计课程设计

电力电子技术课程设计院系: 信息科学与工程学院 题目: 升压斩波电路设计 专业: 电气工程及其自动化 班级: 学号: 姓名: 班级: 学号: 姓名:

文***品 3年前 上传806   0

通信电子线路课程设计

摘 要 随着广播技术的发展,无论是发射机还是接收机都在不断更新换代。尤其以接收机的发展更为明显,目前的无线电接收机不单能收音,且还有可以接收影像的电视机、数字信息的电报机等。其中,调幅接收机由天线回路、高频放大电路,混频电路、中频放大电路、检波器、低频放大电路等六部分组成,经过电路本身的作用,就变成另外一个预先确定好的频率(在我国为465KHz),然后再进行检波和放大。关键词:混频,检

文***享 2年前 上传466   0

电力电子技术课程设计

电力电子技术课程设计姓名 班级 电气 学号 一、 设计要求1.根据给定指标,设计BOOST电路参数,根据公式计算两个电路中的电感、电容值,计算电路中功率器件的额定电流、电压,进行选型。BOOST电路给定参数:l INPUT VOLTAGE:80Vl OUT

文***享 1年前 上传422   0

数电电子时钟课程设计

专业课程设计报告题目:数字电子钟课程设计系 别 电气工程系 专业班级 电气班 学生姓名 指导教师 提交日期 2011年X月X日 一、 设计目的 3二、 设计要求和设计指标 3三、设计内容 43.1方案

文***品 3年前 上传677   0

实验报告-电力电子课程设计

掌握晶闸管仿真模型模块各参数的含义。理解晶闸管的特性。

山***8 4年前 上传1343   0

电子课程设计心得体会

电子课程设计心得体会  1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb连接图,和芯片上的选择。这个方案总共使用了74ls248,cd4510各两个,74ls04,74ls08,74ls20,74ls74,ne555定时器各一个。  2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,

l***n 11年前 上传392   0

数字电子技术课程设计电子密码锁

课程设计说明书课程名称: 数字电子技术课程设计 题 目: 电子密码锁 学生姓名: 专 业: 班 级: 学 号: 指

文***享 1年前 上传500   0

数字电子钟电路设计电子课程设计报告

 (电子技术课程) 设计说明书 数字电子钟电路设计 起止日期: 2016 年 11月14日 至 2016年 11月 18 日 学生姓名 班级 电气工程 学号 成绩 指导教师(签字) 电气与信息工程 学院(部) 2016年 11 月 18 日 一、设计题目 数字电子钟电路的设计 二、

文***品 5年前 上传1498   0

数字电路知识点汇总

数字电路知识点汇总(东南大学)第1章 数字逻辑概论一、进位计数制1.十进制与二进制数的转换2.二进制数与十进制数的转换3.二进制数与16进制数的转换二、基本逻辑门电路第2章 逻辑代数表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。一、逻辑代数的基本公式和常用公式1)常量与变量的关系A+0=A与AA A+1=1与         =1与=0

文***享 3年前 上传759   0

电气控制技术课程设计基于PLC电子计算器课程设计

 电气控制技术课程设计说明书 电子计算器 学生姓名: 专 业: 自动化 班 级: 学 号: 指导教师: 职称 副教授 完成时间: 2015年X月

文***品 1年前 上传309   0

数字电路与系统设计课后习题答案

1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。

n***s 5年前 上传2496   0

八人抢答器电路设计电子课程设计报告

 (电子技术课程) 设计说明书 八人抢答器电路设计 起止日期: 2016 年 11月14日 至 2016年 11月 18 日 学生姓名 班级 电气工程 学号 成绩 指导教师(签字) 电气与信息工程 学院(部) 2016年 11 月 18 日 一、设计题目 八人抢答器电路设计 二、设计目的 1、更进一步熟悉

文***品 5年前 上传1635   0

电子课程设计洗衣机控制电路

电子课程设计 ——洗衣机控制电路 学院:电子信息工程学院 专业、班级: 姓名:

文***品 3年前 上传610   0