| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

基于FPGA的温度检测系统设计

文***享

贡献于2021-06-23

字数:6396


XX学院信息工程学院

数字系统Verilog设计报告


题 目: 基FPGA温度检测系统设计
姓 名:
学 号:
指导老师:
2014

文利数字温度传感器DS18B20数接口特点阐述种基现场编程门阵列( FPGA)控制DS18B20方法FPGA作控制器严格控制DS18B20 时序单总线实现读写功完成测量数字温度功测量二进制数转换BCD码通数码显示系统设计 Verilog 语言
关键字:数字温度传感器数字温度检测FPGAVerilog语言

目 录
摘 I
1 引 言 1
2 设计实现
21 FPGA简介 2
22 DS18B20通讯协议 2
222 写时序 3
223 读时序 3
23 电源连接 5
3 模块设计
31 DS18b20驱动模块 6
32 温度数处理模块 7
33 温度显示模块 7
4 整体模块连接 9
5 结束语 10
参考文献 11


1 引 言
温度工业控制中控参数特冶金化工建材食品机械石油等工业中具举足重轻作着电子技术微型计算机迅速发展微机测量控制技术迅速发展广泛应单片机具处理强运行速度快功耗低等优点应温度测量控制方面控制简单方便测量范围广精度较高
FPGA(FieldProgrammable Gate Array)现场编程门阵列PALGALCPLD等编程器件基础进步发展产物作专集成电路(ASIC)领域中种半定制电路出现解决定制电路足克服原编程器件门电路数限缺点
着温度检测理技术断更新 温度传感器种类越越微机系统中传感器必须够非电量转换成电量传感器目前常热电偶传感器热电阻传感器半导体集成传感器等种传感器根身特性应领域
设计介绍数字温度计传统温度计相具读数方便测温范围广测温准确输出温度采数字显示测温较准确场科研实验室该设计利数字温度传感器DS18B20数接口特点FPGA作控制器严格控制DS18B20 时序单总线实现读写功完成测量数字温度功测量二进制数转换BCD码通数码显示
着生活水断提高FPGA控制疑追求目标带方便否定中数字温度计典型例子求越越高现代工作科研生活提供更更方便设施需数单片机技术入手切着数字化控制智化控制方发展
21世纪科学技术发展日新月异科技进步带动测量技术发展现代控制设备性结构发生巨变化已进入高速发展信息时代测量技术成科技流广泛应生产领域
2 设计实现
21 FPGA简介
FPGA(FieldProgrammable Gate Array)现场编程门阵列PALGALCPLD等编程器件基础进步发展产物作专集成电路(ASIC)领域中种半定制电路出现解决定制电路足克服原编程器件门电路数限缺点
  特点介绍:
1) 采FPGA设计ASIC电路(专集成电路)户需投片生产合芯片
2) FPGA做全定制半定制ASIC电路中试样片
3) FPGA部丰富触发器IO引脚
4) FPGAASIC电路中设计周期短开发费低风险器件
5) FPGA采高速CMOS工艺功耗低CMOSTTL电兼容
  说FPGA芯片批量系统提高系统集成度性佳选择
  FPGA存放片RAM中程序设置工作状态工作时需片RAM进行编程户根配置模式采编程方式
  加电时FPGA芯片EPROM中数读入片编程RAM中配置完成FPGA进入工作状态掉电FPGA恢复成白片部逻辑关系消失FPGA够反复FPGA编程须专FPGA编程器须通EPROMPROM编程器需修改FPGA功时需换片EPROM样片FPGA编程数产生电路功FPGA非常灵活
22 DS18B20通讯协议
根DS18B20通讯协议机控制DS18B20完成温度转换必须三步骤:次读写前DS18B20进行复位复位成功发送条ROM指令发送RAM指令样DS18B20进行预定操作
DS18B20 采根 I O 总线读写数DS18B20 读写数位严格时序求DS18B20 遵循相应通信协议保证数传输正确性完整性该通信协议定义种信号时序初始化时序 写时序 读时序
221 初始化时序
初始化时序中控制器发送480us960us低电复位信号然释放总线总线高电时控制器准备接收DS18B20反应信号总线释放果存DS18B20DS18B201560us发送持续60240us反应信号

222 写时序
设计总线挂DS18B20读取64位序列号直接发送rom命令写时序写1写2时序首先总线拉低电拉低15us必须DS18B20写数传总线DS18B2015us60us采样总线数果高写1低写0写完次释放总线两次写数间时隙1us

223 读时序

读时序时总线拉低电1us然释放总线准备接收DS18B20传数DS18B20总线拉低15us数传总线控制器必须拉低电然释放总线15us采样总线数次读取位数60us
23 DS18B20程序流程图
FPGA 控制DS18B20 实现温度转换程序流程图示

程序流程图
23 电源连接
DS18B20寄生电源 I O 引脚处高电时 偷 量储存电容中供正常进行精确转换时需 I O 引脚保持电流供电样 FPGA 芯片引脚造成压力 VDD 引脚接外部电源

DS18B20电源连接图

DS18B20 进行温度转换需电流工作电流达 1 mA VDD 引脚接外部电源供电优点I O 线需温度变换期间保持高电样效保护FPGA 芯片单总线放置数目DS18B20外部电源通发出 Skip ROM 跳命令然发出 Convert T变换命令完成温度变换
3 模块设计
31 DS18b20驱动模块
DSl820数字温度计提供12位(二进制)温度读数指示器件温度信息单线接口送 入DSl820DSl820送出机CPUDSl820仅需条线(线)DSl820电源数线身提供需外部电源DSl820出厂时已定唯序号意DSl820存放条单线总线允许许方放置温度敏感器件DSl820测量范围55度+125度增量值05度1 s(典型值)温度变换成数字量Verilog程序:

module ds18b20(iCLK iRESET oWIRE oFLAG oDATA )
input iCLKiRESET
output reg[110] oDATA
output reg oFLAG
inout reg oWIRE
parameter do_reset 6'b000001
release_bus 6'b000010
wait_presence 6'b000100
parameter write 6'b001000
wait_conver 6'b010000
read 6'b100000
parameter Skip_Word 8'b11001100
Tconvert_Word 8'b01000100
Tempreg_Word 8'b10111110
reg[630] counter
reg[70] byte_counter
reg[50] nstate
reg[70] temp_word
reg[70] addr
reg[150] Temp_buffer
always @(posedge iCLK) begin
if(~iRESET) begin
nstate < do_reset
byte_counter < 0
counter < 0
oFLAG < 0
oDATA < 0
temp_word < Skip_Word
addr < 1
Temp_buffer<0
oWIRE <0
end
else
case(nstate)
do_reset begin
oFLAG < 0
if(counter 499) begin
nstate < wait_presence
counter < 0
oWIRE <1
end
else begin
counter < counter + 1
oWIRE <0
end
end
wait_presence begin
if(counter 400) begin
nstate < release_bus
counter < 0
oWIRE <1
end
……………

模块创建:

32 温度数处理模块
12bit温度数信息相应进行种处理次均等外需二
进制转换BCD码Verilog程序:
module bin2bcd(in_binout_bcd)
input [110] in_bin
output [110] out_bcd
reg [110] out_bcd
always @(in_bin)
begin
out_bcd[118]in_bin[114]10
out_bcd[74]in_bin[114]10
if(in_bin[3])
out_bcd[30] 5
end
endmodule
模块创建:

33 温度显示模块
FPGA实现LED静态显示控制
运硬件描述语言设计显示译码驱动器显示字符译成8段码FPGA
相引脚端资源果显示位数N较少直接静态显示方式数码分连接8引脚线需8×N条引脚线控制左图示
采FPGA实现LED数码动态显示控制
NLED数码静态方式显示时需8×N条引脚线较复杂系统中FPGA引脚端资源限LED数码显示采扫描方式实现LED数码动态显示
实现方法次点亮LED数码循环进行显示数码显示数码马显示利眼视觉暂留特性数码时显示效果采扫描方式实现LED数码动态显示控制数码间延时相重根眼视觉暂留原理LED数码秒导通16次眼法分辨LED数码短暂亮认直点亮(实LED数码定频率闪动)延时(导通频率)越越LED数码达定亮度需定时间果延时控制会出现闪动者亮度够验延时0005秒达满意效果
修改延时更显示效果加长延时数码显示段时间点亮数码逐显示效果 外显示字符变化时延时达送低电(阴极数码)LED数码先短暂熄灭显示字符视觉字符变化更清晰Verilog程序:

module display_ds18b20(in_bcdSegrstseclk)
input [110] in_bcd
input clkrst
output [70] Seg
output [20] se
reg [70] Seg
reg [30] r
reg [20] se
parameter st0 1st1 2st2 3
reg[10] current_statenext_state
always @(posedge clk)
begin
case(current_state)
st0
begin
r se<3'b110
next_state end
st1
begin
r se<3'b101
next_state end
st2
begin
r se<3'b011
next_state end
endcase
end
always @(*)
case(r)
4'h0 Seg 8'b11111100
4'h1 Seg 8'b01100000
4'h2 Seg 8'b11011010
4'h3 Seg 8'b11110010
4'h4 Seg 8'b01100110
4'h5 Seg 8'b10110110
4'h6 Seg 8'b10111110
4'h7 Seg 8'b11100000
4'h8 Seg 8'b11111110
4'h9 Seg 8'b11110110
defaultSeg 8'b00000000
endcase
endmodule


模块创建:

4 整体模块连接


5 结束语
次课程设计次加深Verilog语言理解Verilog种纯软件东西变成硬件东西程中软硬件工作程更深层次理解做前Verilog课程设计没接触流程——综合写程序编程逻辑门连接时候感觉离集成电路步
通次课程设计学东西更加巩固学知识 FPGA 知识更加步认识电路抗干扰进步解电路设计许验掌握专业技术知识限课题设计样机制作仅限基阶段离专业计量工程仪器少距离例系统电路原理设计样机工艺设计制作控制程序设计信号处理测量精度等方面存样样问题性样机未做善美切学研究中进步努力

参考文献
[1] 夏宇闻2008Verilog 数字系统设计教程[M]北京航空航天学出版社
[2] 蔡伟纲2007NiosII 软件架构解析[M]西安电子科技学出版社
[3] ALTERA 公司 CycloneEP2C5 手册[EBOL]
[4] 周树南张伯颐2006电路电子学基础[M]科学出版社
[5] 马义忠常蓬彬马浚2005数字逻辑数字系统[M]高等教育出版社
[6] 黄智伟 2006全国学生电子设计竞赛系统设计[M]北京航空航天学出版社
[7] 继华2006设计验证 Verilog HDL[M]民邮电出版社
[8] 张洪润2009FPGACPLD 应设计 200 例[M]北京航空航天学出版社
湖bei民族学院
单纯课容满足学生需通补充达容完善

文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

基于PID的温度控制系统设计论文

 (20XX届) 毕业设计 题  目:   基于PID的温度控制系统设计  学  院:             专  业:     电气工程及其自动化     班  级:      电气        学  号:            姓  名:          指导教师: 

z***u 5年前 上传2000   0

基于FPGA的电梯控制系统设计毕业设计论文

毕 业 设 计 [论 文]题 目: 基于FPGA的电梯控制系统设计 学 院: 电气与信息工程学院 专 业: 电 子 信 息 工 程 姓 名:

文***享 3年前 上传1275   0

PARWANCPU状态机设计基于FPGA的数字系统设计

 基于FPGA的数字系统设计 大作业 学号: 姓名: 班级: 题目一:设计Parwan 的control section 内部状态机s1\s2\..\s9\,并给出功能仿真? 题目二:利用分层结构设计ParwanCPU,并给出功能仿真

文***品 11个月前 上传302   0

基于FPGA的语音录制与回放系统

本语音录制与回放系统的基本工作原理是将模拟语音信号通过模数转换器(A/D)转换成数字信号,再通过FPGA(控制器)将数字信号存储到存储器中; 回放时, 由 FPGA控制将数据从存储器中读出, 然后通过数模转换器(D/A)转换成模拟信号, 经放大后由扬声器输出。

国***锅 5年前 上传1188   0

基于单片机的空调温度控制系统设计毕业设计

 毕业设计  题 目 基于单片机的空调温度控制系统设计 学生姓名 学 号 学 院 计算机与信息工程系 专业班级 指导教师 职 称   年 月 内容摘要 空调是一种能够改善室内温度、湿度、洁净度和空气流速等参数的电器装置。它在生产生活中用途非常广泛。空调

z***u 5年前 上传2552   0

基于FPGA的IIR滤波器设计

 基于FPGA的IIR滤波器设计摘 要:数字信号处理在科学和工程技术许多领域中得到广泛的应用,与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得较高的选择性,故本课题采用一种基于FPGA的IIR数字滤波器的设计方案,首先分析了IIR数字滤波器的原理及设计方法,然后通过MAX+PLUSⅡ的设计平台,

文***品 3年前 上传541   0

基于单片机的高精度温度检测与控制系统

温度的检测与控制的系统渐渐在我们的日常生活中愈发重要,在我们的日常生活的领域还有各个国家和地方的都大量涉及单片机对温度的检测与其控制,比如温度计,酒店的紧急火灾报警温度感应器等等。

爱***享 3年前 上传856   0

基于FPGA的数字跑表

基于FPGA的数字跑表学院:物电学院姓名: 学号:班级:班日期:2011年X月X日目录一、实例的主要内容 3二、实验目标: 41初步掌握Verilog HDL语言的设计方法 42、完成一个数字跑表的设计。 4三、实验原理: 4四、程序代码及分析 5五、实验步骤 95.1新建一个文件夹, 95.2创建工程 105.3 编译、运行程

文***品 3年前 上传630   0

管道温度检测设计

首先确定研究对象,利用LabVIEW软件设计管道液体温度实时监测系统,对根据测试参数的要求进行分析,然后在硬件和软件在整个系统中稳定可靠安全无故障的运行,并调试如测试结果符合要求,完成波形的抓取和数据存储。

Y***0 3年前 上传616   0

基于ARM的嵌入式温度控制系统设计

 毕业设计说明书(论文)作 者: 学 号: 系 部: 通信工程学院 专 业: 通信工程(计算机通信) 题 目:基于ARM的嵌入式温度控制系统的设计 指导者: 副教授 评阅者:

文***品 2年前 上传363   0

基于8086微处理器的温度测控系统设计

基于8086微处理器的温度测控系统设计目录题目.....................................................................1摘要.....................................................................1关键词...........................

文***品 3年前 上传601   0

基于单片机的温度控制系统设计毕业论文

职业学院毕业论文 题目:单片机温度控制系统研制 系 院:工程技术学院 学生姓名: 学 号: 专 业:机电一体化 年 级: 指导教师: 完成日期:X月X日 毕业设计(论文)任务书 设计(论文)题目 单片机温度控制系统研制

z***u 5年前 上传5870   0

基于MSP430的温度采集系统开题报告

毕 业 论 文 开 题 报 告1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,撰写2000字左右的文献综述:文 献 综 述一、题目背景和研究意义 温度是工业生产中最常见和最基本的参数之一,在生产过程中常需对温度进行实时监控。通常采用单片机完成对温度信息的存储、实时控制、检测以及数字显示。这对于提高企业生产效率、提升产品质量、节约能源等都有重要的作用。为此,本文设计了一种温度采集系

文***品 3年前 上传682   0

基于FPGA的键盘扫描程序的设计毕业设计

X X 学 院 CHANGSHA UNIVERSITY本科生毕业设计设计(论文)题目: 基于FPGA的键盘扫描程序的设计 系    部: 专 业:

知***享 4年前 上传863   0

基于FPGA的多路彩灯控制器的设计课程设计

 FPGA课程设计报告 学院: 信息工程学院班 级: 信息级班姓 名: 学 号: 完成时间: 2015年X月X日 评阅意见: 题目基于FPGA多路彩灯控制器的设计一、引言 21世纪,电子技术迅猛发展,高薪技术日新月异。传统的设计方法正逐步退出历史的舞台,取而代之的

文***品 3年前 上传528   0

基于FPGA的计数器设计电子技术课程设计

XX学院电子技术课程设计 题 目 _基于FPGA的计数器设计___ _________________________ 学生姓名 _ XXX_________________ 专业班级 电子信息工程班__ __

z***u 1年前 上传321   0

基于51单片机压力检测系统设计毕业设计

 学号: xx 大 学 毕业设计(论文) (xxxx届) 题 目 基于51单片机的压力检测系统设计

z***u 5年前 上传2726   0

FPGA课程设计基于RAM的十口8位计数器

FPGA课程设计报告题 目: 基于RAM的十口8位计数器 院 系: 通信与信息工程学院 专业班级: 电科班 学生姓名: 导师姓名:

文***品 3年前 上传455   0

通信工程基于FPGA的图像数据处理FIFO核设计论文

 基于FPGA的图像数据处理 FIFO核设计 院 系:信息科学与工程学院 专 业 班:通信1201 姓 名: 学 号: 指导教师: 2016年5月 基于FPGA的图像数据处理 FIFO核设计 The Design of FIFO Core Processing Image Dat

文***品 5年前 上传1083   0

基于FPGA的FSK数字调制解调器设计

等级:课 程 设 计课程名称专业课程设计课题名称基于FPGA的FSK数字调制解调器设计专 业电子信息工程班 级学 号姓 名指导老师2016年X月X日电气信息学院专业设计任务书课题名称基于FPGA的FSK数字调制器或解调器设计姓 名专业电子信息工程班级 学号指导老师课程设计时间

文***品 1年前 上传336   0

基于单片机的数字温度计设计课程设计

基于单片机的数字温度计设计引言随着现代信息技术的飞速发展和传统工业改造的逐步实现.能够独立工作的温度检测和显示系统应用于诸多领域。传统的温度检测以热敏电阻为温度敏感元件。热敏电阻的成本低,但需后续信号处理电路,而且可靠性相对较差,测温准确度低,检测系统也有一定的误差。与传统的温度计相比,这里设计的数字温度计具有读数方便,测温范围广,测温精确,数字显示,适用范围宽等特点。选用AT89C51型单

文***享 3年前 上传939   0

基于51单片机数字温度计设计课程设计

课 题: 基于51单片机数字温度计设计专 业: 电子信息工程班 级: 班学 号: 姓 名: 指导教师: 设计日期:成 绩:XX大学XX学院电气学院 基于51单片机数字温度计设计一、设计目的 1、掌握单片机电路的设计原理

文***品 3年前 上传977   0

基于LabVIEW的温度分析仪

XX大学 毕 业 设 计(论 文) 题 目:基于LabVIEW的温度分析仪 院 (系): 自动化学院 专 业: 自动化 班 级: 学生姓名:

文***品 2年前 上传437   0

基于SpringBoot博客系统的设计与实现

 基于SpringBoot博客系统的设计与实现Design and implementation of blog system based on SpringBoot中文摘要互联网随着社会的进步也渐渐得到普及,给人们带来很多便利,可以提供更多的服务,在互联网还没有发展起来之前,人们需要购买纸质报

平***苏 2年前 上传971   0

基于LabVIEW的数据采集系统设计

基于LabVIEW的数据采集系统设计--图像采集基于LabVIEW的数据采集系统设计 ——图像采集摘 要数字图像处理技术的应用越来越广泛,在国防建设、工农业生产、人们的日常生活中,都用到了数字图像处理技术。图像识别是数字图像处理技术的一个组成部分,在卫星遥感、航拍等领域的应用也比较广泛。本文主要介绍了在LabVIEW软件下,利用摄像头完成图像的采集和处理的虚拟仪器

平***苏 2年前 上传671   0