| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

基于FPGA的多路彩灯控制器的设计课程设计

文***品

贡献于2021-06-27

字数:7489

 FPGA课程设计报告

学院 信息工程学院
班 级: 信息级班
姓 名:
学 号
完成时间: 2015年X月X日


评阅意见:
















题目

基FPGA路彩灯控制器设计



引言
21世纪电子技术迅猛发展高薪技术日新月异传统设计方法正逐步退出历史舞台取代基EDA技术芯片设计技术正成电子系统设计流规模编程器件现场编程门阵列FPGA复杂编程逻辑器件CPLD应广泛两类编程专集成电路
年EDA技术高速发展现代电子产品着功样化体积化功耗低化方发展传统电子产品设计显著优势:第量规模编程逻辑器件提高产品性缩产品体积降低功耗第二广泛运现代化计算机技术提高电子设计动化程度缩短开发周期提高产品竞争力掌握方面应极重题目基FPGA技术完成实现
众周知彩灯流水灯装饰灯等日常生活商业极广泛应具高商业价值研究价值越越变化端求极高灯饰行业 相传统单片机说FPGA性价越越高功强轻松完成彩灯控制
着科技发展 现代生活中 彩灯作种景观应越越电子电路设计领域中电子设计动化(EDA)工具已成设计手段VHDL语言EDA关键技术采顶设计方法系统总体求出发设计务分解功模块功模块连接形成顶层模块完成系统硬件整体设计文介绍基EDA技路彩灯控制器设计分析MAX+PLUSII环境采VHDL语言实现述基VHDL语言FPGA芯片数字系统设计思想实现程电子设计动化技术EDA发展电子系统设计带革命性变化EDA软件设计工具硬件描述语言编程逻辑器件EDA技术应走普FPGA新型编程逻辑器件采FPGA进行产品开发灵活进行模块配置缩短产品开发周期利产品型化集成化方发展

二务求

1设计路彩灯控制器循环变化花型 清零选择花型
2彩灯控制器16路发光二极构成控制器开关开时够6种花型间进行循环变化
3求控制器具备复位清零功旦复位信号失效控制器花型变化处种状态会条件刻清零回初始化状态

三设计作目
根系统设计求知整系统三输入信号:控制彩灯节奏快慢基准时钟信号CLK_IN系统清零信号CLR彩灯节奏快慢选择开关CHOSE_KEY16输出信号LED[150]分控制十六路彩灯
整彩灯控制器CDKZQ分三部分:时序控制电路SXKZ显示控制电路XSKZ
题目原理图完成顶层文件较简单子模块较少题目建议顶层模块原理图会较直观较复杂子模块较题目文元件例化会更简洁清晰原理图完成顶层文件连线图示



彩灯控制器组成原理图


四设备软件
41 FPGA发展历程
FPGA(Field-Programmable Gate Array)现场编程门阵列PALGALCPLD等编程器件基础进步发展产物作专集成电路(ASIC)领域中种半定制电路出现解决定制电路足克服原编程器件门电路数限缺点
硬件描述语言(VerilogVHDL)完成电路设计简单综合布局快速烧录 FPGA 进行测试现代 IC设计验证技术流编辑元件实现基逻辑门电路(ANDORXORNOT)者更复杂组合功解码器数学方程式数FPGA里面编辑元件里包含记忆元件例触发器(Flip-flop)者更加完整记忆块
系统设计师根需通编辑连接FPGA部逻辑块连接起电路试验板放芯片里出厂成品FPGA逻辑块连接设计者改变FPGA完成需逻辑功
FPGA般说ASIC(专集成电路)速度慢法完成复杂设计功耗较低优点快速成品修改改正程序中错误更便宜造价厂商会提供便宜编辑力差FPGA芯片较差编辑力设计开发普通FPGA完成然设计转移类似ASIC芯片外种方法CPLD(Complex Programmable Logic Device复杂编程逻辑器件)
42 Quartus II
421简介
Quartus II Altera公司综合性PLDFPGA开发软件支持原理图VHDLVerilogHDLAHDL(Altera Hardware Description Language)等种设计输入形式嵌综合器仿真器完成设计输入硬件配置完整PLD设计流程
Quartus IIXPLinuxUnixTcl脚完成设计流程外提供完善户图形界面设计方式具运行速度快界面统功集中易学易等特点
Quartus II支持AlteraIP核包含LPMMegaFunction宏功模块库户充分利成熟模块简化设计复杂性加快设计速度第三方EDA工具良支持户设计流程阶段熟悉第三方EDA工具
外Quartus II 通DSP Builder工具MatlabSimulink相结合方便实现种DSP应系统支持Altera片编程系统(SOPC)开发集系统级设计嵌入式软件开发编程逻辑设计体种综合性开发台
Maxplus II 作Altera代PLD设计软件出色易性广泛应目前Altera已停止Maxplus II 更新支持Quartus II 相仅仅支持器件类型丰富图形界面改变AlteraQuartus II 中包含许诸SignalTap IIChip EditorRTL Viewer设计辅助工具集成SOPCHardCopy设计流程继承Maxplus II 友图形界面简便方法
Altera Quartus II 作种编程逻辑设计环境 强设计力直观易接口越越受数字系统设计者欢迎
422 功
Quartus II提供完全集成电路结构关开发包环境具数字逻辑设计全部特性包括:利原理图结构框图VerilogHDLAHDLVHDL完成电路描述保存设计实体文件
芯片(电路)面布局连线编辑
LogicLock增量设计方法户建立优化系统然添加原始系统性影响较影响续模块
功强逻辑综合工具
完备电路功仿真时序逻辑仿真工具
定时时序分析关键路径延时分析
SignalTap II逻辑分析工具进行嵌入式逻辑分析
支持软件源文件添加创建链接起生成编程文件
组合编译方式次完成整体设计流程
动定位编译错误
高效期间编程验证工具
读入标准EDIF网表文件VHDL网表文件Verilog网表文件
生成第三方EDA软件VHDL网表文件Verilog网表文件

五系统设计方案
51 系统总体设计

图51 系统总图
52 系统软件设计
521 时序控制器:SXKZ部分

library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall 库函数声明
entity sxkz is 实体声明
port(
chose_keyin std_logic 速度控制钮
clk_inin std_logic 输入时钟信号
clrin std_logic 复位信号
clkout std_logic 改变时钟信号
)
end entity
architecture art of sxkz is 结构体声明
signal cllkstd_logic
begin
process(clk_inclrchose_key)is 进程
variable tempstd_logic_vector(0 to 2) 定义变量
begin
if clr'1' then cllk<'0'temp000 复位初始化
elsif rising_edge (clk_in) then
if chose_key'1' then 键高速时
if temp011 then 输出时钟信号T输入信号8倍
temp000
cllk else temptemp+'1'
end if
else
if temp111 then 键没低速时
temp000 输出时钟信号T输入信号
cllk else temptemp+'1'
end if
end if
end if
end process
clkend art
生成元器件符号SYMBOL

图52 时序控制器

522显示控制器:XSKZ
library ieee
use ieeestd_logic_1164all
entity xskz is
port(
clkin std_logic
clrin std_logic
ledout std_logic_vector(0 to 15) 彩灯输出控制端口
)
end entity
architecture art of xskz is
type state is(s0s1s2s3s4s5s6) 定义组状态机
signal current_statestate
signal flowerstd_logic_vector(15 downto 0) 定义信号
begin
process(clrclk) is
constant f1std_logic_vector(0 to 15)0001000100010001
constant f2std_logic_vector(0 to 15)1010101010101010
constant f3std_logic_vector(0 to 15)0011001100110011
constant f4std_logic_vector(0 to 15)0100100100100100
constant f5std_logic_vector(0 to 15)1001010010100101
constant f6std_logic_vector(0 to 15)1101101101100110
定义组彩灯花式
begin
if clr'1' then current_state elsif rising_edge(clk) then 位状态0否等
case current_state is 时钟信号升
when s0>
flower<0000000000000000 初始状态
current_state when s1>
flower current_state when s2>
flower current_state when s3>
flower current_state when s4>
flower current_state when s5>
flower current_state when s6>
flower current_state end case
end if
end process
ledend architecture

flower current_state when s4>
flower current_state when s5>
flower current_state when s6>
flower current_state end case
end if
end process
ledend architecture
生成元器件符号:SYMBOL

图53 显示控制器

523 彩灯控制器:CDKZ
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity cdkz2 is 实体
port(
clkinin std_logic 端口定义
clrin std_logic
chose_keyin std_logic
ledout std_logic_vector(0 to 15)
)
end entity
architecture art of cdkz2 is 结构体
component sxkz is 元件例化sxkz
port(
chose_keyin std_logic
clk_inin std_logic
clrin std_logic
clkout std_logic
)
end component sxkz
component xskz is 元件例化xskz
port(
clkin std_logic
clrin std_logic
ledout std_logic_vector(0 to 15)
)
end component xskz
signal s0std_logic 定义信号
begin
u1sxkz port map(chose_keyclkinclrs0)
u2xskz port map(s0clrled)
end architecture

彩灯控制器SYMBOL:

图54 彩灯控制器



图55 RTL
六仿真调试分析

start compilation先编译
generate functional simulation netlist然生成功仿真网络表
assignments–setting—simulation setting设置成功仿真
然新建vector waveform file
通view—utility windowsnode finder 输入输出脚添加进波形仿真图里 点击start simulation



61 时序控制器波形仿真:


图61输入信号





图62 输出波形


62显示控制器波形仿真:

图63输入信号





图64 输出波形

63彩灯控制器波形仿真:


图65 Chose_key0时







图66 Chose_key1时



七设计中问题解决方法
实验表明 设计方法够满足种花样彩灯变化求 该方法便扩展变化模式彩灯花样时实现彩灯两种频率闪烁果键时候灯四种花样序循环跑果某键松开C键然松开前灯跑花样键灯会C代表花样处开始跑然D花样然A花样次循环ABCD认会弹起键程序里果直着键松手会导致灯反复跑花样着B键松手会反复跑B花样允许时两键三键4键说时刻ABCD高电否会出错程序没防错机制




八心体会:
次设计课题彩灯控制器课题选择然老师审核题目时候常编写类似程序类程序编写设计较熟练题目关键计数器分频器分频方法种种功实现VHDL采种方式进行描述种方式间优劣次设计采中较简单种应量简洁语言写出需功程序 
通次课程设计EDA技术更进步熟悉VHDL语言C语言等语言区VHDLEDA技术重组成部分具具体硬件电路关设计台关特性具良电路行描述系统描述力语言易读性层次化结构化设计方面表现强生命力应潜力优点设计者专心致力功实现需影响功工艺关素花费时间精力实际操作中发现设计课知识联系高课必须先课基础知识巩固实际中更加熟练应简单原理应功综合起困难课知识实际运基础务必认真学
九参考文献:
1谭会生张昌 EDA技术应 西安电子科技学出版社 20028
2张洪润张亚 FPGACPLD应设计200例 北京航空航天学出版社 20072
3王刚张潋 基FPGASOPC嵌入式系统设计典型实例 电子工业出版社 20091
4周润景基QuartusⅡFPGACPLD数字系统设计实例[M]西安:电子工业出版社20078
5潘松 黄继业 EDA技术实教程(第二版)[M]北京:科学出版社20069
6林明权 马维旻 VHDL数字控制系统设计范例[M]西安:电子
工业出版社20031
7褚振勇 FPGA设计应(第三版)[M]西安:电子科技学出版社20124
8张毅刚 彭喜元 彭宇单片机原理应(第二版)[M]北京:高等教育出版社200911

文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

基于单片机的彩灯控制器设计毕业设计

 题目: 基于单片机的节日彩灯控制器的设计 作 者 姓 名: 学 号: 系 (院): 机械与电子工程学院 专 业: 电子信息工程 指导教师姓名:

文***品 3年前 上传563   0

数电课程设计报告 音乐彩灯控制器

 电子技术课程设计 题目名称: 音乐彩灯控制器 1. 设计任务和要求 (1) 任务 设计一种组合式彩灯控制电路,该电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作设计实验。 (2) 要求 ① 第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 ② 第二路按音量的

z***u 5年前 上传1186   0

基于FPGA的计数器设计电子技术课程设计

XX学院电子技术课程设计 题 目 _基于FPGA的计数器设计___ _________________________ 学生姓名 _ XXX_________________ 专业班级 电子信息工程班__ __

z***u 1年前 上传322   0

FPGA课程设计基于RAM的十口8位计数器

FPGA课程设计报告题 目: 基于RAM的十口8位计数器 院 系: 通信与信息工程学院 专业班级: 电科班 学生姓名: 导师姓名:

文***品 3年前 上传455   0

基于FPGA的IIR滤波器设计

 基于FPGA的IIR滤波器设计摘 要:数字信号处理在科学和工程技术许多领域中得到广泛的应用,与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得较高的选择性,故本课题采用一种基于FPGA的IIR数字滤波器的设计方案,首先分析了IIR数字滤波器的原理及设计方法,然后通过MAX+PLUSⅡ的设计平台,

文***品 3年前 上传541   0

基于FPGA的温度检测系统设计

XX学院信息工程学院数字系统与Verilog设计报告题 目: 基于FPGA的温度检测系统设计 姓 名: 学 号: 指导老师: 2014摘 要 本文利用数字温度传感器DS18B20的数据接口和特点,阐述了一种基于现场可编程门阵列( FPG

文***享 3年前 上传610   0

基于FPGA的数字跑表

基于FPGA的数字跑表学院:物电学院姓名: 学号:班级:班日期:2011年X月X日目录一、实例的主要内容 3二、实验目标: 41初步掌握Verilog HDL语言的设计方法 42、完成一个数字跑表的设计。 4三、实验原理: 4四、程序代码及分析 5五、实验步骤 95.1新建一个文件夹, 95.2创建工程 105.3 编译、运行程

文***品 3年前 上传632   0

基于单片机的温湿度控制器设计课程设计报告

《智能仪器仪表设计与调试》课程设计报告 学 院: 电气与信息工程学院 专业班级: 测控10-01 学生姓名: 学 号: 设计地点(单位)_________ __I506_ _____ ___ __设计题目:_____ _

文***品 1年前 上传311   0

基于FPGA的键盘扫描程序的设计毕业设计

X X 学 院 CHANGSHA UNIVERSITY本科生毕业设计设计(论文)题目: 基于FPGA的键盘扫描程序的设计 系    部: 专 业:

知***享 4年前 上传866   0

基于FPGA的电梯控制系统设计毕业设计论文

毕 业 设 计 [论 文]题 目: 基于FPGA的电梯控制系统设计 学 院: 电气与信息工程学院 专 业: 电 子 信 息 工 程 姓 名:

文***享 3年前 上传1278   0

PARWANCPU状态机设计基于FPGA的数字系统设计

 基于FPGA的数字系统设计 大作业 学号: 姓名: 班级: 题目一:设计Parwan 的control section 内部状态机s1\s2\..\s9\,并给出功能仿真? 题目二:利用分层结构设计ParwanCPU,并给出功能仿真

文***品 11个月前 上传303   0

多路防盗报警器课程设计任务书

课 程 设 计 任 务 书 多路防盗报警器 院系 电气与电子工程系 组成员 专业 通信班 指导教师 完成日期 20XX年X月X日 摘  要: 近年来,随着改革开放的深入发展,人民的生活水平有了很大提高。各种高档家电产品和贵重物品为许多家庭所拥有,并且人们手

文***品 5年前 上传1203   0

基于UML的图书管理系统设计课程设计

滨江学院 统一建模语言课程设计报告( 2013 -- 2014 年度第 二 学期)课程名称: 统一建模语言课程设计 题 目: 图书馆管理系统 院 系: 计算机系 班 级: 学 号: 姓 名:

文***品 1年前 上传399   0

基于8051的智能小车设计课程设计

测控电路课程设计题目名称: 智能循迹小车 专业班级: 学生姓名: 学 号:

文***品 2年前 上传586   0

基于plc的交通灯的设计课程设计

任务说明书一、 控制要求:1、系统控制示意图由十字路口交通灯示意图可以知道,在南北和东西主干道上各有一盏红绿灯;在南北和东西人行道上各有一盏红灯和绿灯。2、控制要求 启动及停止按钮的控制,当按下启动按钮时,信号灯系统开始工作,并且周而复始的开始工作,当按下停止按钮时信号灯停止工作,且信号灯的状态回复到初始状态。

文***品 3年前 上传890   0

基于STM32的多路抢答器设计与制作

 基于STM32的多路抢答器设计与制作 Design and Make of Multiple Answering Device on STM3内容摘要抢答不仅仅需要参与者,还需要裁判,而裁判的作用是整个过程

平***苏 2年前 上传839   0

基于FPGA的FSK数字调制解调器设计

等级:课 程 设 计课程名称专业课程设计课题名称基于FPGA的FSK数字调制解调器设计专 业电子信息工程班 级学 号姓 名指导老师2016年X月X日电气信息学院专业设计任务书课题名称基于FPGA的FSK数字调制器或解调器设计姓 名专业电子信息工程班级 学号指导老师课程设计时间

文***品 1年前 上传336   0

通信工程基于FPGA的图像数据处理FIFO核设计论文

 基于FPGA的图像数据处理 FIFO核设计 院 系:信息科学与工程学院 专 业 班:通信1201 姓 名: 学 号: 指导教师: 2016年5月 基于FPGA的图像数据处理 FIFO核设计 The Design of FIFO Core Processing Image Dat

文***品 5年前 上传1084   0

单片机课程设计作息时间控制器的设计报告

XX大学单片机课程设计报告作息时间控制器设计姓 名: 学 号: 专业班级: 自动化班 指导老师: 所在学院:电气工程与自动化学院2009年X月X日 摘要本设计是作息时间控制器的设计,由单片机AT89C52芯片和L

文***享 3年前 上传569   0

电热水器恒温控制器的设计课程设计

XX 大 学 微型计算机控制技术 课程设计(论文)题目: 电热水器恒温控制器的设计 院(系): 电气工程学院 专业班级: 自动化 学 号: 学生姓名: 指导教师: (签字)起止时间: 2013.12.30-

文***品 3年前 上传518   0

电子技术课程设计报告彩灯控制电路设计报告

电子技术课程设计报告学 院: 电气及电子工程学院 专业班级: 学生姓名: 指导教师: 完成时间: 2011年X月X日 成 绩:

文***品 2年前 上传531   0

基于MATLAB的ASK调制解调实现课程设计

大学《通信原理》课程设计报告学 院 专 业 班 级 学 号 学生姓名 指导教师 课程成绩 完成日期 2016年X月X

文***品 3年前 上传727   0

基于arm键盘课程设计

基ARM课程设计 专 业:自动化学 号:姓 名: 在ARM嵌入式应用中,人机交互对话最通用的方法就是通过键盘和LCD显示进行的,本设计是通过键盘向系统发送各种指令或置入必要的数据信息。键盘模块设计的好坏,直接关系到系统的可靠性和稳定性。1 实例说明在ARM应用系统中,键盘扫描只是ARM的工作之一,ARM在忙于各项工作任务时,如何兼顾键盘的输入,则取决

文***品 2年前 上传474   0

基于FPGA的语音录制与回放系统

本语音录制与回放系统的基本工作原理是将模拟语音信号通过模数转换器(A/D)转换成数字信号,再通过FPGA(控制器)将数字信号存储到存储器中; 回放时, 由 FPGA控制将数据从存储器中读出, 然后通过数模转换器(D/A)转换成模拟信号, 经放大后由扬声器输出。

国***锅 5年前 上传1188   0

基于单片机的鱼缸控制器设计毕业设计论文

基于单片机的鱼缸控制器设计 摘 要 本文是基于单片机的鱼缸控制器的设计,目前市场上有各种各样的观赏类的鱼缸,进入了不同的应用场合,如家庭、宾馆和商场等等,但现有的鱼缸一般需要人为的操作,比如水温的检测、水循环、鱼儿喂食和液位控制等,给人们带来了很大的不便。本论文就是基于这一现状,分析和研究目前大多数的鱼缸的控制设备和现状,提出了一种新型的基于单片机控制的自动化鱼缸控制器,该控制器是以

z***u 5年前 上传2483   0