| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

基于FPGA的计数器设计电子技术课程设计

z***u

贡献于2022-11-30

字数:11550





XX学院
电子技术课程设计






题 目 _基FPGA计数器设计___
_________________________
学生姓名 _ XXX_________________
专业班级 电子信息工程班__ __
学 号 _ __________
院 (系) 电气信息工程学院___ ____
指导教师 _ ____________
完成时间 2013年X月X日____ ___





郑州轻工业学院
课 程 设 计 务 书
题目 基FPGA计数器程序设设计_______________
专业班级 电子信息工程 学号 姓名 _____
容基求参考资料等:
容:
求学生硬件描述语言(Verilog 者VHDL)设计基FPGA计数器源程序实现功:显示109999四位计数器四位七段数码译码显示理解数码译码原理时需做分频器理解时钟分频原理意义
基求:
1学会quartusII掌握FPGA 程序设计方法
2掌握硬件描述语言语法
3程序设计完成求quartusII中实现功仿真
参考资料:
1褚振勇 FPGA设计应(第三版)[M]西安电子科技学出版社20124
2陈怀琛MATLAB电子信息课程中应[M]北京:电子工业出版社20081
完 成 期 限: 2013621—2013625
指导教师签名: ________________
课程负责签名: ___________________
2013年 6月 18日



目 录
基FPGA计数器程序设设计 i
摘 i
1 绪 1
11 FPGA简介 1
12 硬件描述语言VHDL特点 1
13 软件开发工具Quartus II 简介 2
2 整体设计方案 3
3 模块设计功具体分析 4
31 分频器设计 4
311 设计原理 4
312 源程序仿真波形 4
313 RTL电路图 6
32 计数器设计 6
321 计数器应 6
322 计数器源程序仿真 7
33 锁存器设计 9
331 锁存器应 9
332 16位锁存器源程序仿真波形 9
34 显示部分设计 10
341 七段数码显示原理 10
342 七段数码源程序仿真 11
4 计数器顶层设计 13
411 基VHDL顶设计方法 13
432顶层设计源文件仿真波形 13
总 结 16
参考文献 17
附 录 18
























基FPGA计数器程序设设计

文介绍种基FPGA顶层底层设计数字频率计文包括该频率计设计基础实现方法译码显示等容 描述设计台工作原理软硬件实现设计分频器四位计数器16位锁存器数码显示电路计数器设计采VHDL硬件描述语言编程极减少硬件资源占仿真分析结果表明该数字频率计性优异软件设计语言灵活硬件简单速度快

关键词 FPGA 计数器 VHDL



1 绪
11 FPGA简介
FPGA(Field-Progrmable Gate Array)现场编程门阵列PALGALCPLD等编程器件基础进步发展产物作专集成电路(ASIC)领域中种半定制电路出现解决定制电路足克服原编程器件门电路数限缺点
1985 年Xilinx 公司推出第片中规模现场编程逻辑器件(FP2GA) FPGA 已历十年历十年程中编程器件惊发展初1200 利门天25 万利门规模增200 倍 FPGA 供应商Xilinx 枝独秀天20 厂商分庭抗争FPGA 单基SRAM结构天种结构类型出现充分体现编程器件巨市场吸引力  FPGA 仅解决电子系统型化低功耗高性等问题开发周期短开发软件投入少芯片价格
断降低目前电子产品生命周期相缩短相功产品派生设计增等特点促FPGA 越越取代ASIC 市场特国众科研单位说批量品种产品需求FPGA 成首选
12 硬件描述语言VHDL特点
功强设计灵活VHDL具功强语言结构简洁明确源代码描述复杂逻辑控制具层次设计描述功层层细化直接生成电路级描述VHDL支持步电路异步电路机电路设计硬件描述语言拟VHDL支持种设计方法支持底设计支持顶设计支持模块化设计支持层次化设计支持广泛易修改VHDL已成IEEE标准规范硬件描述语言目前数EDA工具支持VHDLVHDL进步推广广泛应奠定基础硬件电路设计程中设计文件VHDL编写源代码VHDL易读结构化易修改设计强系统硬件描述力VHDL具层次设计描述功描述系统级电路描述门级电路描述采行描述寄存器传输描述结构描述采三者混合混合级描述外VHDL支持惯性延迟传输延迟准确建立硬件电路模型VHDL支持预定义定义数类型硬件描述带较度设计员够方便创建高层次系统模型独立器件设计工艺关设计员VHDL进行设计时需首先考虑选择完成设计器件集中精力进行设计优化设计描述完成种器件结构实现功强移植力VHDL种标准化硬件描述语言设计描述工具支持设计描述移植成
13 软件开发工具Quartus II 简介
AlteraQuartus II 设计软件提供完整台设计环境轻易满足种特定设计需单芯片编程系统 (SOPC) 设计综合性环境SOPC开发基设计工具Altera DSP开发包进行系统模型设计提供集成综合环境Quartus II设计工具完全支持VHDLVerilog设计流程部嵌VHDLVerilog逻辑综合器
Quartus II 包括模块化编译器编译器包括功模块分析综合器(Analysis&Synthesis)适配器(Fitter)装配器(Assembler)时序分析器(Timing Analyzer)设计辅助模块(Design Assistant)等通选择 Start Compilation (Processing 菜单)运行编译器模块 单独运行模块通选择 Start(Processing 菜单)然 Start 子菜单中模块选择相应指令外通选择 Compiler Tool (Tools 菜单) Compiler Tool窗口中运行该模块启动编译器模块 Compiler Tool 窗口中开该模块设置文件报告文件开相关窗口
Quartus II支持层次化设计新编辑输入环境中输入设计方式完成模块进行调试解决原理图HDL混合输入设计问题设计输入Quartus II编译器出设计输入错误报告Quartus II带RTL Viewer观察综合RTL图Quartus II动设计处理环节设计流程包括设计输入编辑设计分析综合适配编译文件汇编(装配)时序参数提取编程载步骤
2 整体设计方案
设计基FPGA计数器求显示109999四位计数器4模10十进制计数器级联成显示频率范围19999HZ频率计功分割成四模块:分频计计数器输出锁存器译码显示电路模块均VHDL语言描述quartus2进行仿真设计采数控分频计信号实现分频输出信号作计数器输入锁存器外部脉控制控制显示部分显示周期系统正常工作时输入信号标提供频率分频器分频产生计数信号送入计数器模块计数模块输入脉数进行计数数结束计数结果送入锁存器中保证系统稳定显示数计数结果够显示七段数码显示
clk11
计数器
分频计
锁存器
显示电路


ena0

rest0
clk2


图1 设计方案







3 模块设计功具体分析
31 分频器设计
311 设计原理
分频器指输出信号频率输入信号频率整数分电子电路许电子设备中电子钟频率合成器等需种频率信号协工作常方法稳定度高晶体振荡器振源通变换需种频率成分分频器种变换手段早期分频器正弦分频器着数字集成电路发展脉分频器(称数字分频器)逐渐取代正弦分频器输入输出信号均正弦波时采模数转换-数字分频-数模转换方法实现分频正弦分频器输入信噪低频率极高场合已少
数控分频器功输入端定数时输入时钟信号分频N分频器分频出时钟周期原时钟周期N倍频率变原1N8位计数器果输入数DD然启动计数器工作D倍时钟周期计数器溢出时输出full变高电full敏感信号fout进行取反操作N2D计数次重新计数反复进行直输入赋予新值数控分频数器装载计数初始值时会频率溢出信号输出数控分频器利计数值行预置加法计数器设计完成
312 源程序仿真波形
LIBRARY IEEE
USE IEEESTD_LOGIC_1164ALL
USE IEEESTD_LOGIC_UNSIGNEDALL
ENTITY DVF IS
PORT ( CLK IN STD_LOGIC
DD IN STD_LOGIC_VECTOR(7 DOWNTO 0)
FOUT OUT STD_LOGIC )
END
ARCHITECTURE one OF DVF IS
SIGNAL FULL STD_LOGIC
BEGIN
P_REG PROCESS(CLK)
VARIABLE CNT8 STD_LOGIC_VECTOR(7 DOWNTO 0)
BEGIN
IF CLK'EVENT AND CLK '1' THEN
IF CNT8 11111111 THEN
CNT8 DD CNT8计数计满时输入数D步预置计数器CNT8
FULL < '1' 时溢出标志信号FULL输出高电
ELSE CNT8 CNT8 + 1 否继续作加1计数
FULL < '0' 输出溢出标志信号FULL低电
END IF
END IF
END PROCESS P_REG
P_DIV PROCESS(FULL)
VARIABLE CNT2 STD_LOGIC
BEGIN
IF FULL'EVENT AND FULL '1' THEN
CNT2 NOT CNT2 果溢出标志信号FULL高电D触发器输出取反
IF CNT2 '1' THEN FOUT < '1' ELSE FOUT < '0'
END IF
END IF
END PROCESS P_DIV
END
图2 分频器仿真波形
313 RTL电路图

图3 分频器RTL电路图
32 计数器设计
321 计数器应
计数种简单基运算计数器实现种运算逻辑电路计数器数字系统中脉数进行计数实现测量计数控制功时兼分频功计数器基计数单元控制门组成计数单元系列具存储信息功类触发器构成触发器RS触发器T触发器D触发器JK触发器等计数器数字系统中应广泛电子计算机控制器中指令址进行计数便序取出条指令运算器中作法法运算时记加法减法次数数字仪器中脉计数等等计数器显示产品工作状态般说表示产品已完成少份折页配页工作指标计数器位数常见3位4位显然3位数计数器显示9994位数显示9999数字电子技术中应时序逻辑电路计数器仅时钟脉计数分频定时产生节拍脉脉序列进行数字运算等法显示计算结果般通外接LCDLED屏显示
322 计数器源程序仿真
(1) 十进制计数器
加数合法设计范围09输入加数9时候统变换成0实验采带异步复位步时钟十进制加法计数器种计数器许实际处果rst1时钟清零果1clk信号允许计数器数计数器9计数器加1否清零第二if语句功计数器cqi达9时产生进位溢出信号
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity cnt10 is
port (rstclkenain std_logic
cout out std_logic
outy out std_logic_vector(3 downto 0))
end cnt10
architecture behv of cnt10 is
begin
process (rstenaclk)
variable cqi std_logic_vector(3 downto 0)
begin
if rst'1' then cqi (others >'0')
elsif clk'event and clk'1' then
if ena '1' then
if cqi < 9 then cqicqi+1cout<'0'
elsif cqi9 then
cqi (others >'0')
cout<'1'
end if
elsif ena'0' then cqi(others >'0')
end if
end if
outy end process
end behv

图4 十进制计数器仿真波形
(2)四位计数器
面含计数异步复位功4位计数器 rst异步清信号高电效
library ieee
use ieeestd_logic_1164all
entity cnt10_4 is
port(clkkrstenain std_logic
dout std_logic_vector(15 downto 0))
end entity
architecture one of cnt10_4 is
component cnt10
port (rstclkenain std_logic
cout out std_logic
outy out std_logic_vector(3 downto 0))
end component
signal estd_logic_vector(3 downto 0)
begin
u1cnt10 port map(clk>clkkrst>rstena>enacout>e(0)outy>d(3 downto 0))
u2cnt10 port map(clk>e(0)rst>rstena>enacout>e(1)outy>d(7 downto 4))
u3cnt10 port map(clk>e(1)rst>rstena>enacout>e(2)outy>d(11 downto 8))
u4cnt10 port map(clk>e(2)rst>rstena>enacout>e(3)outy>d(15 downto 12))
end architecture one
33 锁存器设计
331 锁存器应
谓锁存器输出端状态会输入端状态变化变化仅锁存信号时输入状态保存输出直锁存信号时改变典型锁存器逻辑电路D 触发器电路LED数码显示方面维持数显示持续快速刷新尤四段八位数码等选通显示设备类够接受刷新频率概三十毫秒刷新次占处理器处理时间消耗处理器处理力浪费处理器功耗锁存器缓解处理器方面压力处理器数传输锁存器锁存锁存器输出引脚便会直保持数状态直次锁存新数止样数码显示容变前处理器处理时间IO引脚便释放出处理器处理时间仅限显示容发生变化时候整显示时间非常少部分处理器处理完更时间执行务锁存器LED数码显示方面作节省宝贵MCU时间
332 16位锁存器源程序仿真波形
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity reg16b is
port (load in std_logic
din in std_logic_vector(15 downto 0)
dout out std_logic_vector(15 downto 0))
end reg16b
architecture art of reg16b is
begin
process(loaddin)
begin
if load'event and load'1'then
dout end if
end process
end architecture art
图5 锁存器波形
34 显示部分设计
341 七段数码显示原理
机交互式单片机系统说仅需响应户输入时需测控信息输出显示显示信息提供实时数图形结果便掌握系统状态进行分析处理目前常LED数码显示成低廉简便显示数字特定字符数码数码种半导体发光器件数码分七段数码八段数码区八段数码七段数码发光二极单元基单元发光二极数码通脚输入相

图6 七段数码原理
电流发亮显示出数字够显示 时间日期温度等数字表示参数器件电器特家电领域应极广泛显示屏空调热水器冰箱等等绝数热水器数码家电液晶屏荧光屏
7段阳极LED数码7条形发光二极数点位构成引脚配置图示部结构图示图中出中7发光二极构成字形8显示数字发光二极构成数点种数码时称8段LED数码显示器
LED数码引脚图资料LED数码实际七发光组成8字形构成加段分字母abcdefg表示数码特定段加电压特定段会发亮形成眼睛 28数码字样:显示2字应a亮b亮g亮e亮d亮f亮c亮
表1 七段LED段码表
字符
阴极
阳极
字符
阴极
阳极
0
3FH
C0H
A
77H
88H
1
06H
F9H
B
7CH
83H
2
5BH
A4H
C
39H
C6H
3
4FH
B0H
D
5EH
A1H
4
66H
99H
E
79H
86H
5
6DH
92H
F
71H
8EH
6
7DH
82H
H
76H
09H
7
07H
F8H
P
73H
8CH
8
7FH
80H
U
3EH
C1H
9
6FH
90H

00H
FFH

实际应中单LED数码情况较少常需时LED数码显示1位数字符串4LED数码列情况例4数码显示999~9999间数字时显示4字符构成字符串见LED数码扩展显示信息量
342 七段数码源程序仿真
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity led_controller is
port(din std_logic_vector(3 downto 0)
aout std_logic_vector(6 downto 0))
end led_controller
architecture one of led_controller is
begin
process(d)
begin
case d is
when 0000> a< 0111111when 0001> a< 0000110
when 0010> a< 1011011when 0011> a< 1001111
when 0100> a< 1100110when 0101> a< 1101101
when 0110> a< 1111101when 0111> a< 0000111
when 1000> a< 1111111when 1001> a< 1101111
when 1010> a< 1110111when 1011> a< 1111100
when 1100> a< 0111001when 1101> a< 1011110
when 1110> a< 1111001when 1111> a< 1110001
when others > null
end case
end process
end
图7 七段数码仿真波形






4 计数器顶层设计
411 基VHDL顶设计方法
谓顶设计方法采完全独立芯片厂商产品结构描述语功级设计产品进行定义结合功仿真技术确保设计正确性定义完成利逻辑综合技术功描述转换成某具体结构芯片网表文件输出厂商布局布线器进行布局布线布局布线结果反标回仿真器进行包括功时序验证保证布局布线带门延时线延时会影响设计性
顶设计方法优越性显易见首先功描述完全独立芯片结构设计初阶段设计师受芯片结构约束集中精力进行产品设计避免传统设计方法带重新设计风险缩短设计周期次设计利保证目前电子产品正模块化发展谓模块化设计成果进行修改组合利产生全新派生设计顶设计方法功描述芯片结构关种IP方式进行存档便重新利 第三设计规模提高简单语言描述完成复杂功需手工绘图第四芯片选择更加灵活设计师较短时间采种结构芯片完成功描述设计规模速度芯片价格系统性求等方面进行衡选择佳结果
412顶层设计源文件仿真波形
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
use ieeestd_logic_arith
use ieeestd_logic_signed
entity ccnntt is
port(ena0rst0in std_logic
clk1clk2in std_logic
ledoutout std_logic_vector(27 downto 0)
din in std_logic_vector(7 downto 0)
end entity
architecture one of ccnntt is
component DVF
port ( clkin std_logic
DD in std_logic_vector(7 downto 0)
fout out std_logic
component cnt10_4
port(clkkrstenain std_logic
dout std_logic_vector(15 downto 0))
end component
component reg16b
port(load in std_logic
din in std_logic_vector(15 downto 0)
dout out std_logic_vector(15 downto 0))
end component
component led_controller
port(din std_logic_vector(3 downto 0)
aout std_logic_vector(6 downto 0))
end component
signal ystd_logic
signal bhstd_logic_vector(15 downto 0)
signal ledsstd_logic_vector(27 downto 0)
begin
u1 DVF port map(clk>clk1DD>dinfout>y)
u2 cnt10_4 port map(clkk>yrst>rst0 ena>ena0 d>b)
u3 reg16b port map(load>clk2din>b(15 downto 0)dout>h(15 downto 0))
u4led_controller port map(d>h(3 downto 0)a>leds(6 downto 0))
u5 led_controller port map(d>h(7 downto 4)a>leds(13 downto 7))
u6 led_controller port map(d>h(11 downto 8)a>leds(20 downto 14))
u7 led_controller port map(d>h(15 downto 12)a>leds(27 downto 21))
ledoutend



图8 总程序仿真波形
总 结
期周课程设计结束啦整计数器设计实现程中学会少新知识时学语言进步深刻理解现里谈心体会
作电子信息类专业学生FPGA重课程事电子设计力工具通该课程学电子设计动化更进步理解通数字滤波器设计解计数器基结构基特性更加牢固掌握相关理知识时提高动手实践力次课程设计重部分quartus2运学程序基操作般设计编译仿真够熟练掌握
次课程设计终利完成设计中遇专业知识问题学帮助努力终迎刃解时学实知识


参考文献
[1]褚振勇 FPGA设计应(第三版)[M]西安电子科技学出版社20124
[2]陈怀琛MATLAB电子信息课程中应[M]北京:电子工业出版社20081
[3]王新安FPGACPLD新实技术指南(第版)[M]北京:清华学出版社2005
[4]林明权VHDL数字控制系统设计范例(第版)[M]北京:电子工业出版社2003年
[5]黄继业EDA技术实教程(第二版)[M]北京:科学出版社2005年
[6]杨恒新顶法设计交通灯控制系统[J]电气电子教学学报2006年第四期
[7]素琼EDA技术数字电路中探讨[J]实验科学技术2005年第期
[8]陈赜PLDFPGAASIC设计实践教程[M].北京:科学出版社2005
[9]王金明.数字系统设计Verilog HDL [M].北京:电子工业出版社2009









附 录
文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 6 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

FPGA课程设计基于RAM的十口8位计数器

FPGA课程设计报告题 目: 基于RAM的十口8位计数器 院 系: 通信与信息工程学院 专业班级: 电科班 学生姓名: 导师姓名:

文***品 3年前 上传455   0

基于FPGA的多路彩灯控制器的设计课程设计

 FPGA课程设计报告 学院: 信息工程学院班 级: 信息级班姓 名: 学 号: 完成时间: 2015年X月X日 评阅意见: 题目基于FPGA多路彩灯控制器的设计一、引言 21世纪,电子技术迅猛发展,高薪技术日新月异。传统的设计方法正逐步退出历史的舞台,取而代之的

文***品 3年前 上传531   0

60进制计数器课程设计

60进制计数器课程设计姓 名: ∧∧∧∧ 学 号:\\\\\\\\\\\\\\ 班 级: 应电班 实训地点: 指导教师: 时间:2012、5、28-2012、6、1目录1、计数器的概述·······················

z***u 1年前 上传407   0

60进制计数器课程设计

60进制计数器课程设计60进制计数器设计 2绪论 31.1设计背景 31.2设计思想 32器件介绍 42.1电阻 42.2电容 52.3 555秒发生器 52.4 74ls00 72.574ls90 72.674ls48 83软件仿真 93.1 555仿真图 93.2 60进制仿真图 103.3 仿真图 104焊接方法 124.1焊接方法 124.2 注意事

z***u 1年前 上传279   0

基于FPGA的IIR滤波器设计

 基于FPGA的IIR滤波器设计摘 要:数字信号处理在科学和工程技术许多领域中得到广泛的应用,与FIR数字滤波器相比,IIR数字滤波器可以用较低的阶数获得较高的选择性,故本课题采用一种基于FPGA的IIR数字滤波器的设计方案,首先分析了IIR数字滤波器的原理及设计方法,然后通过MAX+PLUSⅡ的设计平台,

文***品 3年前 上传541   0

基于FPGA的温度检测系统设计

XX学院信息工程学院数字系统与Verilog设计报告题 目: 基于FPGA的温度检测系统设计 姓 名: 学 号: 指导老师: 2014摘 要 本文利用数字温度传感器DS18B20的数据接口和特点,阐述了一种基于现场可编程门阵列( FPG

文***享 3年前 上传610   0

基于FPGA的数字跑表

基于FPGA的数字跑表学院:物电学院姓名: 学号:班级:班日期:2011年X月X日目录一、实例的主要内容 3二、实验目标: 41初步掌握Verilog HDL语言的设计方法 42、完成一个数字跑表的设计。 4三、实验原理: 4四、程序代码及分析 5五、实验步骤 95.1新建一个文件夹, 95.2创建工程 105.3 编译、运行程

文***品 3年前 上传632   0

电子技术基础实验课程设计用74LS161设计六十进制计数器

电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集

z***u 1年前 上传518   0

60进制计数器设计数字电子技术基础

《数字电子技术基础》课程设计任务书专 业: 电气工程及其自动化 班 级: 专升本班 学 号: 姓 名: 指导教师: 二零一六年X月X日目录1、计数器的概述··········

z***u 1年前 上传434   0

基于FPGA的键盘扫描程序的设计毕业设计

X X 学 院 CHANGSHA UNIVERSITY本科生毕业设计设计(论文)题目: 基于FPGA的键盘扫描程序的设计 系    部: 专 业:

知***享 4年前 上传866   0

基于FPGA的电梯控制系统设计毕业设计论文

毕 业 设 计 [论 文]题 目: 基于FPGA的电梯控制系统设计 学 院: 电气与信息工程学院 专 业: 电 子 信 息 工 程 姓 名:

文***享 3年前 上传1278   0

PARWANCPU状态机设计基于FPGA的数字系统设计

 基于FPGA的数字系统设计 大作业 学号: 姓名: 班级: 题目一:设计Parwan 的control section 内部状态机s1\s2\..\s9\,并给出功能仿真? 题目二:利用分层结构设计ParwanCPU,并给出功能仿真

文***品 11个月前 上传304   0

电力电子技术课程设计

电力电子技术课程设计姓名 班级 电气 学号 一、 设计要求1.根据给定指标,设计BOOST电路参数,根据公式计算两个电路中的电感、电容值,计算电路中功率器件的额定电流、电压,进行选型。BOOST电路给定参数:l INPUT VOLTAGE:80Vl OUT

文***享 1年前 上传424   0

基于UML的图书管理系统设计课程设计

滨江学院 统一建模语言课程设计报告( 2013 -- 2014 年度第 二 学期)课程名称: 统一建模语言课程设计 题 目: 图书馆管理系统 院 系: 计算机系 班 级: 学 号: 姓 名:

文***品 1年前 上传399   0

基于8051的智能小车设计课程设计

测控电路课程设计题目名称: 智能循迹小车 专业班级: 学生姓名: 学 号:

文***品 2年前 上传586   0

基于plc的交通灯的设计课程设计

任务说明书一、 控制要求:1、系统控制示意图由十字路口交通灯示意图可以知道,在南北和东西主干道上各有一盏红绿灯;在南北和东西人行道上各有一盏红灯和绿灯。2、控制要求 启动及停止按钮的控制,当按下启动按钮时,信号灯系统开始工作,并且周而复始的开始工作,当按下停止按钮时信号灯停止工作,且信号灯的状态回复到初始状态。

文***品 3年前 上传891   0

音乐倒数计数器单片机课程设计

音乐倒数计数器单片机课程设计摘 要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。而51单片机是各单片机中最为典型和最有代表性的一种。本实验是基于MCS51系列单片机所设计的,可以实现键盘按键与数字动态显示并可以用音乐倒数的计数器。本设计基于单片机技术原理,以单片机芯片STC89C52作为核心控制器,通过硬件电路的制作以及软件程序的编

文***品 1年前 上传537   0

通信工程基于FPGA的图像数据处理FIFO核设计论文

 基于FPGA的图像数据处理 FIFO核设计 院 系:信息科学与工程学院 专 业 班:通信1201 姓 名: 学 号: 指导教师: 2016年5月 基于FPGA的图像数据处理 FIFO核设计 The Design of FIFO Core Processing Image Dat

文***品 5年前 上传1084   0

基于FPGA的FSK数字调制解调器设计

等级:课 程 设 计课程名称专业课程设计课题名称基于FPGA的FSK数字调制解调器设计专 业电子信息工程班 级学 号姓 名指导老师2016年X月X日电气信息学院专业设计任务书课题名称基于FPGA的FSK数字调制器或解调器设计姓 名专业电子信息工程班级 学号指导老师课程设计时间

文***品 1年前 上传337   0

基于MATLAB的ASK调制解调实现课程设计

大学《通信原理》课程设计报告学 院 专 业 班 级 学 号 学生姓名 指导教师 课程成绩 完成日期 2016年X月X

文***品 3年前 上传727   0

基于arm键盘课程设计

基ARM课程设计 专 业:自动化学 号:姓 名: 在ARM嵌入式应用中,人机交互对话最通用的方法就是通过键盘和LCD显示进行的,本设计是通过键盘向系统发送各种指令或置入必要的数据信息。键盘模块设计的好坏,直接关系到系统的可靠性和稳定性。1 实例说明在ARM应用系统中,键盘扫描只是ARM的工作之一,ARM在忙于各项工作任务时,如何兼顾键盘的输入,则取决

文***品 2年前 上传474   0

30秒定时器的设计电子技术课程设计报告书

电子技术课程设计报告书课题名称:30秒定时器的设计姓 名:学 号:院 系:电子与信息工程系专 业:电子信息工程指导教师:时 间:2011年月日一、设计任务及要求:1、设计任务:(1)、30秒计时功能,两位数字显示,计时间隔为一秒。(2)、进行30秒减计时,每次减计时结束后,发光二极管点亮,显示器显示00。(3)

文***享 7个月前 上传213   0

基于FPGA的语音录制与回放系统

本语音录制与回放系统的基本工作原理是将模拟语音信号通过模数转换器(A/D)转换成数字信号,再通过FPGA(控制器)将数字信号存储到存储器中; 回放时, 由 FPGA控制将数据从存储器中读出, 然后通过数模转换器(D/A)转换成模拟信号, 经放大后由扬声器输出。

国***锅 5年前 上传1188   0

电气控制技术课程设计基于PLC电子计算器课程设计

 电气控制技术课程设计说明书 电子计算器 学生姓名: 专 业: 自动化 班 级: 学 号: 指导教师: 职称 副教授 完成时间: 2015年X月

文***品 1年前 上传311   0

基于单片机的拔河游戏机设计课程设计

××大学××学院××课程设计基于单片机的拔河游戏机设计学生姓名学 号所 在 系专业名称班 级指导教师成 绩 ××大学××学院二○一二年X月摘要:近年来随着计算机在社会领域的渗透和大规模集成电

文***享 3年前 上传585   0