| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

基于原理图的数字跑表设计课程设计

文***享

贡献于2023-05-23

字数:3938

XX学
设计报告








课程名称: 基FPGA现代数字系统设计
设计名称: 基原理图数字跑表设计
姓 名:
学 号
班 级:
指导教师:
XX学信息工程学院
实验目
1 设计数字跑表具复位暂停秒表等功
二 实验原理
1完成具数显输出数字跑表计数器设计原理图图示


数字跑表计数器原理图





务分析
输入端口:
1)复位信号CLRCLR1输出全部置0CLR0系统正常工作
2)暂停信号PAUSEPAUSE1暂停计数PAUSE0正常计数 3)系统时钟CLKCLK50MHz
输出端口:
数码驱动DATA1位宽14位中DATA1[7:0]数 码显示值DATA1[14:8]数码控制端口
屏蔽未端口ctr位宽2未两数码显示关闭
(1)  跑表计时范围001s~59min5999s计时精度10ms 
(2) 具异步复位清零启动计时暂停功 
(3) 输入时钟频率100Hz 
(4) 求数字跑表输出够直接驱动阴极7段数码显示
顶设计应该分模块:
分频载板50MHz时钟分频周期001秒时钟提供百分计数
计数1百分计数输入周期001秒时钟计数满100进位注意位十位生成
计数260进制计数器输入百分位者秒位进位计数满60高位进位注意位十位生成
数码显示控制驱动数码数显示控制端口
三 实验步骤
1 数码显示驱动模块设计
(1)建立工程:file>New Project注意器件EDA工具正确选择
(2)建立新Verilog HDL模块编辑窗口选择资源类型Verilog Module输入合法文件名文编辑窗口输入代码
(3)执行综合综合电路进行功时序仿真
2计数器模块设计
设计步骤数码设计完成模块设计输入综合功仿真
3数码计数器组合系统
Verilog HDL数码计数器组合模块完成综合功仿真分析波形修正设计
4电路进行综合编译锁定引脚载板子进行实际仿真验证
四 实验结果分析
1Design窗口中选择Design Utilities→Create Schematic Symbol创建跑表模块

2 时序图

激励文件代码
`timescale 1ns 1ps
module TSET
reg CLK
reg CLR
reg PAUSE
wire [130] DATA1
paobiao uut (CLK(CLK) CLR(CLR) PAUSE(PAUSE) DATA1(DATA1))
initial begin
CLK 0CLR 0PAUSE 0
#10 CLR1
#10 CLR0
forever begin
#10 CLKCLK
end
end
Endmodule
解释:10时间clk110时间clk010时间clk值进行次翻转生成时钟周期20时间单位
3 锁定引脚
# PlanAhead Generated physical constraints
NET CLK LOC V10
NET CLR LOC D14
NET PAUSE LOC C14
NET DATA1[0] LOC R7
NET DATA1[1] LOC V7
NET DATA1[2] LOC U7
NET DATA1[3] LOC V6
NET DATA1[4] LOC T6
NET DATA1[5] LOC P6
NET DATA1[6] LOC N5
NET DATA1[7] LOC P7
NET DATA1[13] LOC N8
NET DATA1[12] LOC M8
NET DATA1[11] LOC T8
NET DATA1[10] LOC R8
NET DATA1[9] LOC V9
NET DATA1[8] LOC T9

开关实现数字清操作

开关二实现数字暂停操作




五 体会
初步掌握Verilog HDL种目前应广泛硬件描述语言编写方法联机载硬件验证整流程圆满完成设计务 程序设计次实验重点通老师课讲容实际做进位程序分频程序基掌握 写完程序发现调程序模块学点拨改成程序模块生成具体模块整系统正常工作 程序设计遇数码法显示瓶颈老师指导终解决问题 
次课程设计程中提升学力动手力笔收获
代码:
`timescale 1ns 1ps
module paobiao(CLKCLRPAUSEDATA1)
input CLKCLR
input PAUSE
output[130] DATA1
reg[30] MSHMSLSHSLMHMLdig
reg cn1cn2
reg [100] div_count1
reg [180] div_count
reg timer_clk
always @(posedge CLK or posedge CLR)
if(CLR) div_count < 19'h0
else
if(div_count 19'h7a11f)
div_count < 19'h0
else div_count < div_count+1'b1
always @ (posedge CLK or posedge CLR)
if(CLR) timer_clk < 1'b0
else
if(div_count 0)
timer_clk < 1'b1
else
timer_clk < 1'b0
always @(posedge timer_clk or posedge CLR)
begin
if(CLR) begin
{MSHMSL}<8'h00
cn1<0
end
else if(PAUSE)
begin
if(MSL9) begin
MSL<0
if(MSH9)
begin MSH<0 cn1<1 end
else MSH end
else begin
MSL end
end
end
always @(posedge cn1 or posedge CLR)
begin
if(CLR) begin
{SHSL}<8'h00
cn2<0
end
else if(SL9)
begin
SL<0
if(SH5)
begin SH<0 cn2<1 end
else SH end
else
begin SLend

always @(posedge cn2 or posedge CLR)
begin
if(CLR)
begin {MHML}<8'h00 end
else if(ML9) begin
ML<0
if(MH5) MH<0
else MH end
else MLend
function[70] Xrom
input [30] sum
case(sum)
4'b0000 Xrom 7'b1111110
4'b0001 Xrom 7'b0110000
4'b0010 Xrom 7'b1101101
4'b0011 Xrom 7'b1111001
4'b0100 Xrom 7'b0110011
4'b0101 Xrom 7'b1011011
4'b0110 Xrom 7'b1011111
4'b0111 Xrom 7'b1110000
4'b1000 Xrom 7'b1111111
4'b1001 Xrom 7'b1111011
4'b1010 Xrom 7'b1110111
4'b1011 Xrom 7'b0011111
4'b1100 Xrom 7'b1001110
4'b1101 Xrom 7'b0111101
4'b1110 Xrom 7'b1001111
4'b1111 Xrom 7'b1000111
default Xrom 7'b1111110
endcase
endfunction
always @ (posedge CLK or posedge CLR)
if(CLR)
begin
div_count1 < 11'h0
dig < 3'b000 end
else begin
div_count1 < div_count1 + 1
if(div_count1 0)
if(dig3'b101)
dig < 3'b000
else
dig < dig + 1'b1
else dig < dig
end
reg[130] DATA1
always @(posedge CLK or posedge CLR)
if(CLR)
DATA1 < 14'b0
else
case(dig)
3'b000DATA1<{~6'b111110Xrom(MSL)}
3'b001DATA1<{~6'b111101Xrom(MSH)}
3'b010DATA1<{~6'b111011Xrom(SL)}
3'b011DATA1<{~6'b110111Xrom(SH)}
3'b100DATA1<{~6'b101111Xrom(ML)}
3'b101DATA1<{~6'b011111Xrom(MH)}
defaultDATA1<14'h3fff
endcase
endmodule
西南科技学
文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

基于FPGA的数字跑表

基于FPGA的数字跑表学院:物电学院姓名: 学号:班级:班日期:2011年X月X日目录一、实例的主要内容 3二、实验目标: 41初步掌握Verilog HDL语言的设计方法 42、完成一个数字跑表的设计。 4三、实验原理: 4四、程序代码及分析 5五、实验步骤 95.1新建一个文件夹, 95.2创建工程 105.3 编译、运行程

文***品 3年前 上传630   0

基于单片机的数字温度计设计课程设计

基于单片机的数字温度计设计引言随着现代信息技术的飞速发展和传统工业改造的逐步实现.能够独立工作的温度检测和显示系统应用于诸多领域。传统的温度检测以热敏电阻为温度敏感元件。热敏电阻的成本低,但需后续信号处理电路,而且可靠性相对较差,测温准确度低,检测系统也有一定的误差。与传统的温度计相比,这里设计的数字温度计具有读数方便,测温范围广,测温精确,数字显示,适用范围宽等特点。选用AT89C51型单

文***享 3年前 上传939   0

基于51单片机数字温度计设计课程设计

课 题: 基于51单片机数字温度计设计专 业: 电子信息工程班 级: 班学 号: 姓 名: 指导教师: 设计日期:成 绩:XX大学XX学院电气学院 基于51单片机数字温度计设计一、设计目的 1、掌握单片机电路的设计原理

文***品 3年前 上传977   0

基于单片机的数字温度计课程设计

摘要 本文提出了关于MCS-51系列单片机的数字温度计的制作电路方法和编程思想。设计一直灌输着电子电路制作软硬结合,以硬件为主的理念。采用模块化的设计思想。硬件模块分为温度的感应模块、时钟模块、控制模块、显示模块。软件同样采用模块化设计,分为中断模块、温度转化模块、时间调整模块。控制器采用单片机AT89S51,时钟电路采用万年历芯片DS1302,温度传感器采用DS18B20,用数码管并行

文***享 3年前 上传784   0

基于热敏电阻数字温度计课程设计

单片机应用系统(三级)项目设计说明书(2012/2013学年第二学期)题 目 : 基于热敏电阻的数字温度计 __专业班级 : 电子信息工程 学生姓名 : 指导教师 :

文***品 4年前 上传654   0

EDA与数字系统课程设计

 课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级): 自动化2010级 姓 名(学 号): 起 讫 日

文***享 3年前 上传562   0

2023数字油画课程设计

 拓展性课程“智乐点课坊” 课程类型 课程名称: 数字油画 适用年级: 四、五年级 总 课 时: 16 执 教 者: 中心小学编制前 言介绍课程开设的背景、课程的定位以及基本理念等。

蓝郎梦 1年前 上传440   1

数字钟课程设计心得

数字钟课程设计心得  一、设计目的   数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。   数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。   因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了

d***e 12年前 上传613   0

基于UML的图书管理系统设计课程设计

滨江学院 统一建模语言课程设计报告( 2013 -- 2014 年度第 二 学期)课程名称: 统一建模语言课程设计 题 目: 图书馆管理系统 院 系: 计算机系 班 级: 学 号: 姓 名:

文***品 1年前 上传398   0

基于8051的智能小车设计课程设计

测控电路课程设计题目名称: 智能循迹小车 专业班级: 学生姓名: 学 号:

文***品 2年前 上传584   0

基于plc的交通灯的设计课程设计

任务说明书一、 控制要求:1、系统控制示意图由十字路口交通灯示意图可以知道,在南北和东西主干道上各有一盏红绿灯;在南北和东西人行道上各有一盏红灯和绿灯。2、控制要求 启动及停止按钮的控制,当按下启动按钮时,信号灯系统开始工作,并且周而复始的开始工作,当按下停止按钮时信号灯停止工作,且信号灯的状态回复到初始状态。

文***品 3年前 上传887   0

数字电子密码锁课程设计

课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位: 题 目: 《数字电子密码锁》 初始条件:利用集成集成芯片和门电路等设计一个数字电子密码锁。要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)(1

文***品 3年前 上传580   0

数字钟课程设计心得(精选多篇)

数字钟课程设计心得(精选多篇)第一篇:数字钟课程设计心得一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且

乐***呵 10年前 上传525   0

数字图像中高斯噪声的消除课程设计

:数字图像中高斯噪声的消除课程设计(论文)任务书院(系): 基层教学单位: 学 号学生姓名专业(班级) 设计题目 数字图像中高斯噪声的消除设计技术参数从主观(视觉角度)和客观方面(峰值信噪比等)进行比较,并体会 各种方法的优缺点,要求具有良好的噪

文***享 1年前 上传272   0

基于MATLAB的ASK调制解调实现课程设计

大学《通信原理》课程设计报告学 院 专 业 班 级 学 号 学生姓名 指导教师 课程成绩 完成日期 2016年X月X

文***品 3年前 上传726   0

基于arm键盘课程设计

基ARM课程设计 专 业:自动化学 号:姓 名: 在ARM嵌入式应用中,人机交互对话最通用的方法就是通过键盘和LCD显示进行的,本设计是通过键盘向系统发送各种指令或置入必要的数据信息。键盘模块设计的好坏,直接关系到系统的可靠性和稳定性。1 实例说明在ARM应用系统中,键盘扫描只是ARM的工作之一,ARM在忙于各项工作任务时,如何兼顾键盘的输入,则取决

文***品 2年前 上传471   0

数字电路课程设计电子数字钟+闹铃

数字电路课程设计院系: 专业: 电子信息工程姓名: 学号: 完成日期:2009 数字钟的设计一、系统功能概述(一)、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示(小时从00 ~ 23)。2、具有手动校时、校分、校秒的功能。

文***品 3年前 上传499   0

简易数字频率计(数字电路课程设计)

数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1HZ—9.999KHZ,闸门时间1s;10 HZ—99.99KHZ,闸门时间0.1s;100 HZ—999.9KHZ,闸门时间10ms;1 KHZ—9999KHZ,闸门时间1ms;2)显示方式:四位十进制数3)当被测

文***品 3年前 上传795   0

数字信号处理课程设计FIR数字滤波器设计及MATLAB的实现

数 字 信 号 处 理课程设计题目: FIR数字滤波器设计及MATLAB的实现学院: 专业: 班级: 学号: 姓名: 指导教师: 摘要随着信息时代和数字世界的到来,数字信号处理已成为当今一门极为重要的学科和技术领域。数字信号处理在通信、语言、图像、自动控制、雷达、军事、航空

文***品 8个月前 上传231   0

数字电路课程设计报告数字电子钟逻辑电路设计

 数字电路课程设计报告设计课题: 数字电子钟逻辑电路设计 班 级:电子科学与技术 姓 名: 学 号: 指导老师: 设计时间:2016年X月18日~20日 学 院:物理与信息工程学院内容摘要数字电子钟是一种用数字显示秒、分、时、日的计时装置,

文***享 1年前 上传260   0

电气控制技术课程设计基于PLC电子计算器课程设计

 电气控制技术课程设计说明书 电子计算器 学生姓名: 专 业: 自动化 班 级: 学 号: 指导教师: 职称 副教授 完成时间: 2015年X月

文***品 1年前 上传309   0

数字电子钟电路设计电子课程设计报告

 (电子技术课程) 设计说明书 数字电子钟电路设计 起止日期: 2016 年 11月14日 至 2016年 11月 18 日 学生姓名 班级 电气工程 学号 成绩 指导教师(签字) 电气与信息工程 学院(部) 2016年 11 月 18 日 一、设计题目 数字电子钟电路的设计 二、

文***品 5年前 上传1498   0

数字信号的处理与滤波设计课程设计

— — 装 订 线 — —数字信号的处理与滤波 摘要: 数字信号处理是利用计算机或专用处理设备,以数值计算的方法,对信号进行采集、滤波、增强、压缩、估值和识别等加工处理,借以达到提取信息和便于应用的目的,其应用范围涉及几乎所有的工程技术领域。语音信号的处理与滤波是数字信号处理中一个重要的组成部分。本课题主要研究的就是语音信号的处理与滤波,然后设计一个用户界面实现语

文***品 3年前 上传471   0

PID数字调节器的设计(LCD显示)课程设计

智能仪表综合训练设计说明书题 目:PID数字调节器的设计(LCD显示)学生姓名:学 号:专 业:测控技术与仪器班 级:2009指导教师:(教授)摘 要 目前,在过程控制系统中大部分采用PID 数字调节器,本设计的PID数字调节器硬件采用 AT89C52单片机作为主控单元, ADC0832实现A/D转换, LCD1602用

文***享 2年前 上传333   0

PARWANCPU状态机设计基于FPGA的数字系统设计

 基于FPGA的数字系统设计 大作业 学号: 姓名: 班级: 题目一:设计Parwan 的control section 内部状态机s1\s2\..\s9\,并给出功能仿真? 题目二:利用分层结构设计ParwanCPU,并给出功能仿真

文***品 11个月前 上传302   0