| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

电子技术课程设计-可编程时钟控制器

文***品

贡献于2021-01-12

字数:6139

电子技术课程设计编程时钟控制器
目录
设计功求 3
方案分析选择系统框图 4
模块功分析 6
仿真\载结果 9
验 10
操作指南 11
相关代码 12


设计功求

设计务
数字钟仅通数字直观显示日历时间音乐语言报时定时发出种声光电信号启动种设备实现实时控制时间序控制作息时间动铃家电设备动定时启动停止生产程序控制等途广课题求
1设计具时分秒计时6位数字显示时钟电路
2具快速校准时分校时功
3具仿电台动整点报时功者发出整点数目相等音响声
4具定扩展功
二设计求
1分析设计务拟定种设计方案根时制作条件选择中种方案绘制系统框图设计流程
2设计部分单元电路编写VHDL描述程序计算元器件参数确定元器件型号数量提出元件清单
3安装调试硬件电路制作CPLDFPGA基础专集成芯片ASIC
4制作电路进行功测试分析项技术指标VHDL描述进行功仿真ASIC作硬件测试脱机运行
5总结设计环节资料整理印出设计报告(含原理电路仿真波形)交验演示设计制作电路装置
方案分析选择系统框图
方案分析选择
1开发板选择编写VHDL描述程序结合AltiumDesigner中提供元件绘制原理图载开发板
2开发板资源包括LCD显示屏蜂鸣器键盘拨盘开关种频率方波信号种外接口LED灯等
根板资源需实现功选择板资源实现功
LCD显示屏显示时分秒计时
蜂鸣器整点报时闹铃功
拨盘开关时间设定闹铃设定声音开关
方波信号分频作时钟信号输入蜂鸣器发声

系统原理框图
整点报时模块
声音模块
蜂鸣器
存储器模块
控制模块
拨盘开关
信号发生器



时钟显示模块
LCD显示屏


计时模块

硬件原理图

模块功分析
计时模块

计时模块具时分秒计时功收时钟信号秒位计算加位加满时重新置零位进时输出端口会秒位秒十位分位分十位时位时十位8位数字信号输出模块

显示模块


LCD显示模块需显示代码位置代码输入控制模块脉会相应位置显示相应字符显示没滞较高脉频率显示时分秒6位数字需写LCD扫描输出模块时刻显示变化时间 LCD扫描输出需计时模块输出8位BCD码加48转化ASC2码时分秒间加入号区分

存储器模块

存储器模块址信号计时模块分位分十位时位时十位效位写入信号拨盘开关sw4控制输出信号声音模块写入开关拨盘开关sw3控制需闹铃时间写入会读出声音模块发声


整点报时模块

整点报时模块输入取图关键数数1时时整点报时时间声音模块发声

声音模块
声音模块包括音调选择开关整点报时闹铃模块实现求功

仿真\载结果
计时模块仿真图


载结果
第次载时候方知道没接线没改名字造成编译正常法载结果通摸索请教终实现载载发现许意想错误长时间弄清楚解决掉终实现预定功


电子技术课程设计前先做单片机课程设计做单片机课程设计时候边着板子边断载测试点点完善程序做电子技术课程设计时板子身边寝室写程序法验证方浪费时间直实验室两天时间问题解决掉明白做种设计必须断实践测试知道足里知道设计什完善方做什事果手边实验条件做什事学什东西事半功倍
验重果开始什没做种样问题会接踵知道解决验快知道究竟什方出现问题开始阶段果老师定幸运事情够告诉需知道什够告诉发现错误样节省摸索时间
点知道规果连语言规软件规知道设计呢摸索想摸索规会花太时间果开始阶段够基础书需记住印象碰问题时候知道里找解决方法容易学课程
总结起电子技术课程设计学果3点条件较简单入门
1关语言规软件操作书熟悉基础
2做实验实验中获丰富验
3请教
操作指南
设计拨盘开关作控制输入
sw0LCD灯开关关开
sw1闹铃开关关开
sw2整点报时开关 关开
sw3闹铃写入开关关RAM写入模式时利sw4设定闹铃
sw4闹铃设定开关sw3写入时效取消闹铃设定闹铃
sw5时调整关开始时调整时分秒均置零时秒1速度增加
sw6分十位调整 关开始分十位调整时分位秒均置零分十位秒1速度增加
sw7分十位调整 关开始分位调整时秒均置零分位秒1速度增加
提醒调整时间时应序调整时分十位分位
相关代码

整点报时音调选择模块
chosevhd
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity chose is
port
(
enchoseinclkin1clkin2
in std_logic
clkout
out std_logic
)
end chose


architecture change of chose is
begin
process(clkin1clkin2enchosein)
begin
if en'1'
then
if chosein'1'
then
clkout else
clkout end if
else
clkout<'1'
end if
end process

end change


计时模块
countervhd
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity counter is
port
(
clkchangemintchangeminochangeh
in std_logic
sostminominthoht
buffer std_logic_vector(7 downto 0)
)
end counter


architecture add of counter is
begin
process(clksostminominthohtchangemintchangeminochangeh)
begin
if rising_edge(clk)
then
so if sox09
then
st so end if
if (stx05 and sox09) or changemino'1'
then
mino st so end if
if (minox09 and stx05 and sox09) or changemint'1'or (changemino'1' and minox09)
then
mint mino st so end if
if (mintx05 and minox09 and stx05 and sox09) or changeh'1' or (changemint'1' and mintx05) or (changemino'1' and minox09 and mintx5)
then
ho mint mino st so end if
if( hox09 and mintx05 and minox09 and stx05 and sox09) or (changeh'1' and hox09)
then
ht ho mino st so mint end if
if(htx02 and hox03 and mintx05 and minox09 and stx05 and sox09) or (changeh'1' and htx02 and hox03)
then
ht ho mino st so end if
end if
end process
end add


声音开关模块
onoffvhd
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity onoff is
port
(
enclkin
in std_logic
clkout
out std_logic
)
end onoff


architecture en of onoff is
begin
process(clkinen)
begin
if en'1'
then
clkout else
clkout<'1'
end if
end process

end en


闹铃音乐模块
ringvhd
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity ring is
port
(
clkin1clkin2clkin3clkin4clken
in std_logic
clkout
out std_logic
)
end ring


architecture change of ring is
signal num integer
begin
process(clknumclkin1clkin2clkin3clkin4en)
begin
if en'1'
then
if rising_edge(clk)
then
num end if
if num9
then
num<0
end if
if num1
then
clkout elsif num2
then
clkout elsif num3
then
clkout elsif num4
then
clkout elsif num5
then
clkout elsif num6
then
clkout elsif num7
then
clkout elsif num8
then
clkout end if
else
clkout<'1'
end if
end process
end change


显示扫屏模块
showvhd
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity show is
port
(
clk
in std_logic
data1data2data3data4data5data6
in std_logic_vector(7 downto 0)
add
out std_logic_vector(3 downto 0)
dataout
out std_logic_vector(7 downto 0)
)
end show


architecture change of show is
signal num integer
begin
process(clkdata1data2data3data4data5data6num)
begin
if rising_edge(clk)
then
num if num7
then
num<0
end if
end if
if num0
then
add dataout elsif num1
then
add dataout elsif num2
then
add dataout elsif num3
then
add dataout elsif num4
then
add dataout elsif num5
then
add dataout elsif num6
then
add dataout elsif num7
then
add dataout end if
end process
end change


整点报时声音模块
soundvhd
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity sound is
port
(
timein
in std_logic_vector(7 downto 0)
enout
out std_logic
)
end sound


architecture en of sound is
begin
process(timein)
begin
if timeinxff
then
enout<'1'
else
enout<'0'
end if
end process
end en


文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

EDA课程设计时钟

EDA课程设计姓名: 学号:班级:自动化设计题目多功能数字钟电路设计设计任务及要求多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。在

文***享 3年前 上传773   0

电子技术课程设计智能洗衣机控制器

电子技术基础课程设计——智能洗衣机控制器目录一、课程设计的目的二、课程设计的课题与要求 1. 课程设计的课题 2. 课程设计的要求三、设计思路与过程 1. 设计前提概述 2. ASM图 3. 状态图 4. 输入输出设计四、程序内容五

文***享 3年前 上传542   0

数电电子时钟课程设计

专业课程设计报告题目:数字电子钟课程设计系 别 电气工程系 专业班级 电气班 学生姓名 指导教师 提交日期 2011年X月X日 一、 设计目的 3二、 设计要求和设计指标 3三、设计内容 43.1方案

文***品 3年前 上传678   0

电力电子技术课程设计

电力电子技术课程设计姓名 班级 电气 学号 一、 设计要求1.根据给定指标,设计BOOST电路参数,根据公式计算两个电路中的电感、电容值,计算电路中功率器件的额定电流、电压,进行选型。BOOST电路给定参数:l INPUT VOLTAGE:80Vl OUT

文***享 1年前 上传424   0

交通信号灯控制设计可编程控制器技术课程设计

《可编程控制器技术》课程设计题目:交通信号灯控制设计学院:信息工程与自动化系 :通信工程专业:通信工程年级:班姓名: 学号:分数:一、需求分析1.1 需求分析 随着社会的发展和进步,上路的车辆越来越多,而且道路建设往往跟不上城市发展的速度,因此城市交通问题日益突出,经常在十字路口等交通繁忙的地方发生堵塞情况,出现交通混乱。在这个时

文***品 8个月前 上传233   0

数电课程设计数字电子时钟的实现

课 程 设 计 报 告设计题目:数字电子时钟的设计与实现班 级:学 号:姓 名: 指导教师:设计时间:摘 要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数

文***品 4年前 上传1862   0

基于STC89C52的电子时钟设计课程设计

目 录1.前言 12.整体设计方案 23.硬件设计方案 23.1 复位电路 23.2 晶振电路 33.3 LED显示电路 43.4 按键输入电路 43.5 蜂鸣器电路 54.软件设计方案 64.1 软件设计方法 64.2 系统软件设计思想 64.3 系统主程序 74.4 中断子程序 74.4.1 定时器T0的中断程序设计 84.4.2

文***品 1年前 上传403   0

可编程控制器PLC实习报告

  可编程控制器PLC实习报告 目录 第一章  改造设计方案……………………………………………………………………………2 一、改造方案介绍…………………………………………………………………………2    二、硬件设计………………………………………………………………………………2 1、  第一站上料检测站………………………………………………………………3        2、第二站

z***g 14年前 上传20165   0

电梯控制器 Verilog语言课程设计

目录摘 要 2正文 31设计目的及要求 32设计原理 32.1 设计实现原理 32.2项目分块及其实现方案 52.3电梯控制器的流程图 63设计内容 73.1基本状态设计 73.2模块设计 83.3波形仿真 9总结与致谢 13参考文献 14附录 15摘 要电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全

文***品 1年前 上传295   0

单片机课程设计作息时间控制器的设计报告

XX大学单片机课程设计报告作息时间控制器设计姓 名: 学 号: 专业班级: 自动化班 指导老师: 所在学院:电气工程与自动化学院2009年X月X日 摘要本设计是作息时间控制器的设计,由单片机AT89C52芯片和L

文***享 3年前 上传569   0

电热水器恒温控制器的设计课程设计

XX 大 学 微型计算机控制技术 课程设计(论文)题目: 电热水器恒温控制器的设计 院(系): 电气工程学院 专业班级: 自动化 学 号: 学生姓名: 指导教师: (签字)起止时间: 2013.12.30-

文***品 3年前 上传518   0

基于FPGA的多路彩灯控制器的设计课程设计

 FPGA课程设计报告 学院: 信息工程学院班 级: 信息级班姓 名: 学 号: 完成时间: 2015年X月X日 评阅意见: 题目基于FPGA多路彩灯控制器的设计一、引言 21世纪,电子技术迅猛发展,高薪技术日新月异。传统的设计方法正逐步退出历史的舞台,取而代之的

文***品 3年前 上传531   0

数电课程设计报告 音乐彩灯控制器

 电子技术课程设计 题目名称: 音乐彩灯控制器 1. 设计任务和要求 (1) 任务 设计一种组合式彩灯控制电路,该电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作设计实验。 (2) 要求 ① 第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 ② 第二路按音量的

z***u 5年前 上传1187   0

单片机课程设计用proteus实现一个电子时钟的设计

单片机原理课程设计任务书 课程名称 单片机原理 专 业 通信工程级 指导教师 职 称 讲 师 设计地点 通信工程实验室 设计时间 2011 一、课程设计的内容和要

文***品 3年前 上传463   0

国开电大专科《可编程控制器应用》机考套题

一、单选题1.把可编程控制器系统(B)系统各自的优势结合起来,可形成一种新型的分布式计算机控制系统A.工业计算机控制B.集散控制 C.继电器控制 D.嵌入式2.按照()来分类,PLC可分为抵挡PLC.中档PLC和高档PLCA.PLC的体积 B.PLC的功能 C.LO点数

h***s 10个月前 上传371   0

烟雾报警器课程设计报告电子技术

课程设计总结报告课程名称 电子技术课程设计 设计题目 基于89C52的室内火、气安全监测装置 专 业 电子信息工程 班 级 姓 名 学 号 指导教师 报告成绩

z***u 2年前 上传533   0

学生电子技术课程设计实习报告—实习报告

学生电子技术课程设计实习报告—实习报告  实习题目:晶体管超外差收音机(原理、装配、调试)  学生姓名  学号:=======  指导教师:  专业班级:光电子技术科学  提交日期:2008年10月  一、实习内容:  (1)学习识别简单的电子元件与电子线路;  (2)学习并掌握收音机的工作原理;  (3)按照图纸焊接元件,组装一台收音机,并掌握其调试

x***o 10年前 上传528   0

数字电子技术课程设计电子密码锁

课程设计说明书课程名称: 数字电子技术课程设计 题 目: 电子密码锁 学生姓名: 专 业: 班 级: 学 号: 指

文***享 1年前 上传502   0

30秒定时器的设计电子技术课程设计报告书

电子技术课程设计报告书课题名称:30秒定时器的设计姓 名:学 号:院 系:电子与信息工程系专 业:电子信息工程指导教师:时 间:2011年月日一、设计任务及要求:1、设计任务:(1)、30秒计时功能,两位数字显示,计时间隔为一秒。(2)、进行30秒减计时,每次减计时结束后,发光二极管点亮,显示器显示00。(3)

文***享 7个月前 上传213   0

上海大学数字电子技术课程设计交通灯

电子技术课程设计报告——交通灯控制电路XX大学机自学院自动化系自动化 专业姓名:学号:指导老师: 2018年X月X日一、 主要用途:交通信号灯使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。在十字交叉路口,为保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行

文***品 3年前 上传544   0

基于FPGA的计数器设计电子技术课程设计

XX学院电子技术课程设计 题 目 _基于FPGA的计数器设计___ _________________________ 学生姓名 _ XXX_________________ 专业班级 电子信息工程班__ __

z***u 1年前 上传323   0

基于单片机的时钟设计

随着时间的推移,事物的发展越来越趋向于高科技,单片机的出现,受到了社会各界的广泛关注,这是由于单片机具备丰富的功能。单片机的特点为:尺寸小,价格低,抗干扰强,开发容易等。运用的范围也很广,如检测,仪表,电子,机电等设备的各方面都有不可或缺的作用。

王***朝 4年前 上传1039   0

基于单片机的温湿度控制器设计课程设计报告

《智能仪器仪表设计与调试》课程设计报告 学 院: 电气与信息工程学院 专业班级: 测控10-01 学生姓名: 学 号: 设计地点(单位)_________ __I506_ _____ ___ __设计题目:_____ _

文***品 1年前 上传311   0

交通信号灯控制器设计EDA课程设计

信息科学技术学院《EDA技术课程设计报告》专业班级:2008级通信工程姓 名: 学 号:指导老师: 目 录课程设计的要求及目的……………………………………………2前言………………………………………………………………… 2一 设计内容与目的……………………………………………… 21.1 设计内容……………………………

文***享 11个月前 上传315   0

电力电子技术课程设计带隔离的直交值变换器

目录1 绪论 ................................................................................................................... 21.1 电力电子技术的发展 ....................................................

文***品 2年前 上传593   0