| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

交通信号灯控制器设计EDA课程设计

文***享

贡献于2023-05-21

字数:11378





信息科学技术学院

EDA技术课程设计报告






专业班级:2008级通信工程

姓 名:

学 号:

指导老师:












目 录

课程设计求目……………………………………………2
前言………………………………………………………………… 2
设计容目……………………………………………… 2
11 设计容………………………………………………… 3
12 设计目………………………………………………… 3
二 方案设计……………………………………………………… 3
21 设计思路…………………………………………………3
22 设计总体框图……………………………………………4
23 状态表……………………………………………………5
24 电路原理图………………………………………………5
25 静态显示电路……………………………………………5
三 功电路设计……………………………………………… 5
31 细化设计总体框图……………………………………5
32 灯控制器电路设计………………………………………6
33 计数器设计………………………………………………8
34 显示控制部分设计………………………………………12
四 系统仿真图……………………………………………………13
五 设计心会……………………………………………………14
六 参考文献………………………………………………………15
交通信号灯控制器设计

课程设计求目:
1.解电子设计具体流程方法
2 掌握电子设计基求够运学知识解决生活中问题
3 初步掌握VHDL语言编程设计出意义型系统
4 掌握MAX+plus Ⅱ软件应解相关硬件组成功
5 EDA(Electronic Design Automation)者原理图完成课题设计达相应功求

前言
伴着社会发展类生活水提高汽车数量断增加交通事业蓬勃发展引起安全问题已容忽视EDA技术发展应领域断扩深入机械电子通信航空航天化工矿产生物医学军事等领域重性日益突出众周知着生活进步身边交通日益繁忙众十字交叉路口确保车辆安全迅速通行必须入口设置红绿灯系统中设置红绿黄三色三种信号灯红灯亮禁止切该方行车辆通行绿灯亮允许行车辆通行黄灯亮提示行驶中车辆注意抢道时间停禁行线外者加快通时提醒行加快行进者等次绿灯行道灯亮时允许行通
确保十字路口行车辆利畅通通采电子控制交通信号进行指挥利EDA技术设计交通灯完成需求显更加迫切样非常实合理交通信号灯控制系统十字路口例讲述设计功求设计具体程

设计容目:
11 设计容:
EDA设计简单交通灯控制器具功:
(1)设计交通信号灯控制器条干道条支干道汇合成十字路口入口处设置红绿黄三色信号灯红灯亮禁止通行绿灯亮允许通行黄灯亮行驶中车辆时间停禁行线外
(2)红绿黄发光二极作信号灯传感器逻辑开关作检测车辆否信号
(3)干道处常允许通行状态支干道车时允许通行干道亮绿灯时支干道亮红灯支干道亮绿灯时干道亮红灯
支干道均车时两者交允许通行干道次放行45秒支干道次放行25秒设立45秒25秒计时显示电路
(4)次绿灯亮红灯亮转换程中亮5秒黄灯作渡行驶中车辆时间停禁行线外设立5秒计时显示电路

12 设计目:
(1)掌握十字路口交通灯控制设计原理够运VHDL编程语言编写出实验程序进步学EDA知识进行掌握实际应
(2)学会MAX+plus Ⅱ软件环境中仿真熟悉软件基操作运行环境
(3)锻炼获取信息力够独立思考解决问题力

二 方案设计:
21设计思路
(1) 支干道传感器检测车辆情况实验电路逻辑开关代
(2) 45秒25秒5秒定时信号计时倒计时计时起始信号控电路出定时结束信号输入控电路控电路启闭三色信号灯启动计时电路
(3) 控电路核心时序电路输入信号:车辆检测信号(AB) 45秒25秒5秒定时信号(CDE)状态转化图示:


22 设计总体框图:





23状态表
干道
支干道
指示灯
亮灯时间
指示灯
亮灯时间
红灯亮
30s
绿灯亮
25s
红灯亮
黄灯亮
5s
绿灯亮
45
红灯亮
50s
黄灯亮
5s
红灯亮

24 电路原理图:


25 输出显示电路 : 静态扫描电路

三 功电路设计
31细化设计总体框图
根设计求系统具功参考相关文献资料行方案设计画出示十字路口交通灯控制器系统框图设计总体方案框图图31示
CLK
交通灯控制计时模块
扫描显示模块
LED显示

32 灯控制器电路设计
条干道条支干道汇合成十字路口入口处设置红绿黄左拐允许四盏信号灯红灯亮禁止通行绿灯亮允许通行黄灯亮行驶中车辆时间停禁行线外左拐灯亮允许车辆左拐弯信号灯变换次序:支干道交允许通行干道次放行40S亮5S红灯行驶中车辆时间停禁行线外左拐放行15秒亮5S红灯支干道放行30S亮5S黄灯左拐放行15秒亮5S红灯中支干道红黄绿灯表示MRMYMGBRBYBG

程序:
LIBRARY IEEE
USE IEEESTD_LOGIC_1164ALL
ENTITY JTDKZ IS
PORT(CLKSMSBIN STD_LOGIC
MRMYMGBRBYBGOUT STD_LOGIC)
END ENTITY JTDKZ
ARCHITECTURE ART OF JTDKZ IS
TYPE STATE_TYPE IS(ABCD)
SIGNAL STATESTATE_TYPE
BEGIN
CNTPROCESS(CLK)IS
VARIABLE SINTEGER RANGE 0 TO 45
VARIABLE CLRENBIT
BEGIN
IF(CLK'EVENT AND CLK'1') THEN
IF CLR'0'THEN
S0
ELSIF EN'0'THEN
SS
ELSE
SS+1
END IF
CASE STATE IS
WHEN A>MR<'0'MY<'0'MG<'1'
BR<'1'BY<'0'BG<'0'
IF(SB AND SM)'1'THEN
IF S45 THEN
STATE ELSE
STATE END IF
ELSIF(SB AND (NOT SM))'1'THEN
STATE ELSE
STATE END IF
WHEN B>MR<'0'MY<'1'MG<'0'
BR<'1'BY<'0'BG<'0'
IF S5 THEN
STATE ELSE
STATE END IF
WHEN C>MR<'1'MY<'0'MG<'0'
BR<'0'BY<'0'BG<'1'
IF(SM AND SB)'1'THEN
IF S25 THEN
STATE ELSE
STATE END IF
ELSIF SB'0'THEN
STATE ELSE
STATE END IF
WHEN D>MR<'1'MY<'0'MG<'0'
BR<'0'BY<'1'BG<'0'
IF S5 THEN
STATE ELSE
STATE END IF
END CASE
END IF
END PROCESS CNT
END ARCHITECTURE ART

33 计数器设计
根路状况设计显示计时部分包括45s25s5s部分采时计数方法模块:




程序:
CNT45SVHD
LIBRARY IEEE
USE IEEESTD_LOGIC_1164ALL
USE IEEESTD_LOGIC_UNSIGNEDALL

ENTITY CNT45S IS
PORT
(SBCLKEN45IN STD_LOGIC
DOUT45MDOUT45BOUT STD_LOGIC_VECTOR(7 DOWNTO 0))
END ENTITY CNT45S

ARCHITECTURE ART OF CNT45S IS
SIGNAL CNT6BSTD_LOGIC_VECTOR(5 DOWNTO 0)
BEGIN
PROCESS(SBCLKEN45) IS
BEGIN
IF SB'0' THEN CNT6BELSIF(CLK'EVENT AND CLK'1')THEN
IF EN45'1' THEN CNT6BELSIF EN45'0' THEN CNT6BEND IF
END IF
END PROCESS
PROCESS(CNT6B)IS
BEGIN
CASE CNT6B IS
WHEN000000>DOUT45M<01000101DOUT45B<01010000
WHEN000001>DOUT45M<01000100DOUT45B<01001011
WHEN000010>DOUT45M<01000011DOUT45B<01001000
WHEN000011>DOUT45M<01000010DOUT45B<01000111
WHEN000100>DOUT45M<01000001DOUT45B<01000110
WHEN000101>DOUT45M<01000000DOUT45B<01000101
WHEN000110>DOUT45M<00111001DOUT45B<01000100
WHEN000111>DOUT45M<00111000DOUT45B<01000011
WHEN001000>DOUT45M<00110111DOUT45B<01000010
WHEN001001>DOUT45M<00110110DOUT45B<01000001
WHEN001010>DOUT45M<00110101DOUT45B<01000000
WHEN001011>DOUT45M<00110100DOUT45B<01101001
WHEN001100>DOUT45M<00110011DOUT45B<00111000
WHEN001101>DOUT45M<00110010DOUT45B<00110111
WHEN001110>DOUT45M<00110001DOUT45B<00110110
WHEN001111>DOUT45M<00110000DOUT45B<00110101
WHEN010000>DOUT45M<00101001DOUT45B<00110100
WHEN010001>DOUT45M<00101000DOUT45B<00110011
WHEN010010>DOUT45M<00100111DOUT45B<00110010
WHEN010011>DOUT45M<00100110DOUT45B<00110001
WHEN010100>DOUT45M<00100101DOUT45B<00110000
WHEN010101>DOUT45M<00100100DOUT45B<00101001
WHEN010110>DOUT45M<00100011DOUT45B<00101000
WHEN010111>DOUT45M<00100010DOUT45B<00100111
WHEN011000>DOUT45M<00100001DOUT45B<00100110
WHEN011001>DOUT45M<00100000DOUT45B<00100101
WHEN011010>DOUT45M<00011001DOUT45B<00100100
WHEN011011>DOUT45M<00011000DOUT45B<00100011
WHEN011100>DOUT45M<00010111DOUT45B<00100010
WHEN011101>DOUT45M<00010110DOUT45B<00100001
WHEN011110>DOUT45M<00010101DOUT45B<00100000
WHEN011111>DOUT45M<00010100DOUT45B<00011001
WHEN100000>DOUT45M<00010011DOUT45B<00011000
WHEN100001>DOUT45M<00010010DOUT45B<00010111
WHEN100010>DOUT45M<00010001DOUT45B<00010110
WHEN100011>DOUT45M<00010000DOUT45B<00010101
WHEN100100>DOUT45M<00001001DOUT45B<00010100
WHEN100101>DOUT45M<00001000DOUT45B<00010011
WHEN100110>DOUT45M<00000111DOUT45B<00010010
WHEN100111>DOUT45M<00000110DOUT45B<00010001
WHEN101000>DOUT45M<00000101DOUT45B<00010000
WHEN101001>DOUT45M<00000100DOUT45B<00001001
WHEN101010>DOUT45M<00000011DOUT45B<00001000
WHEN101011>DOUT45M<00000010DOUT45B<00000111
WHEN101100>DOUT45M<00000001DOUT45B<00000110
WHEN OTHERS>DOUT45M<00000000DOUT45B<00000000
END CASE
END PROCESS
END ARCHITECTURE ART

CNT25SVHD
LIBRARY IEEE
USE IEEESTD_LOGIC_1164ALL
USE IEEESTD_LOGIC_UNSIGNEDALL
ENTITY CNT25S IS
PORT(SBSMCLKEN25IN STD_LOGIC
DOUT25MDOUT25BOUT STD_LOGIC_VECTOR(7 DOWNTO 0))
END ENTITY
ARCHITECTURE ART OF CNT25S IS
SIGNAL CNT5BSTD_LOGIC_VECTOR(4 DOWNTO 0)
BEGIN
PROCESS (SBSMCLKEN25) IS
BEGIN
IF SB'0' OR SM'0'THEN
CNT5BELSIF(CLK'EVENT AND CLK'1')THEN
IF EN25'1'THEN
CNT5BELSIF EN25'0'THEN
CNT5BEND IF
END IF
END PROCESS
PROCESS(CNT5B)IS
BEGIN
CASE CNT5B IS
WHEN 00000>DOUT25B<00100101DOUT25M<00110000
WHEN 00001>DOUT25B<00100100DOUT25M<00101001
WHEN 00010>DOUT25B<00100011DOUT25M<00101000
WHEN 00011>DOUT25B<00100010DOUT25M<00100111
WHEN 00100>DOUT25B<00100001DOUT25M<00100110
WHEN 00101>DOUT25B<00100000DOUT25M<00100101
WHEN 00110>DOUT25B<00011001DOUT25M<00100100
WHEN 00111>DOUT25B<00011000DOUT25M<00100011
WHEN 01000>DOUT25B<00010111DOUT25M<00100010
WHEN 01001>DOUT25B<00010110DOUT25M<00100001
WHEN 01010>DOUT25B<00010101DOUT25M<00100000
WHEN 01011>DOUT25B<00010100DOUT25M<00011001
WHEN 01100>DOUT25B<00010011DOUT25M<00011000
WHEN 01101>DOUT25B<00010010DOUT25M<00010111
WHEN 01110>DOUT25B<00010001DOUT25M<00010110
WHEN 01111>DOUT25B<00010000DOUT25M<00010101
WHEN 10000>DOUT25B<00001001DOUT25M<00010100
WHEN 10001>DOUT25B<00001001DOUT25M<00010100
WHEN 10010>DOUT25B<00001000DOUT25M<00010011
WHEN 10011>DOUT25B<00000110DOUT25M<00010001
WHEN 10100>DOUT25B<00000101DOUT25M<00010000
WHEN 10101>DOUT25B<00000100DOUT25M<00001001
WHEN 10110>DOUT25B<00000011DOUT25M<00001000
WHEN 10111>DOUT25B<00000010DOUT25M<00000111
WHEN 11000>DOUT25B<00000001DOUT25M<00000110
WHEN OTHERS>DOUT25B<00000000DOUT25M<00000000
END CASE
END PROCESS
END ARCHITECTURE ART

CNT05SVHD
LIBRARY IEEE
USE IEEESTD_LOGIC_1164ALL
USE IEEESTD_LOGIC_UNSIGNEDALL

ENTITY CNT05S IS
PORT
(CLKEN05MEN05BIN STD_LOGIC
DOUT5OUT STD_LOGIC_VECTOR(7 DOWNTO 0))
END ENTITY CNT05S


ARCHITECTURE ART OF CNT05S IS
SIGNAL CNT3BSTD_LOGIC_VECTOR(2 DOWNTO 0)
BEGIN
PROCESS(CLKEN05MEN05B) IS
BEGIN
IF(CLK'EVENT AND CLK'1')THEN
IF EN05M'1' THEN CNT3BELSIF EN05B'1' THEN CNT3BELSIF EN05B'0' THEN CNT3BEND IF
END IF
END PROCESS
PROCESS(CNT3B)IS
BEGIN
CASE CNT3B IS
WHEN000>DOUT5<00000101
WHEN001>DOUT5<00000100
WHEN010>DOUT5<00000011
WHEN011>DOUT5<00000010
WHEN100>DOUT5<00000001
WHEN OTHERS>DOUT5<00000000
END CASE
END PROCESS
END ARCHITECTURE ART

34 显示控制部分设计
根JZKZ部件中检测路况路况显示计数时间模块:

程序:
XSKZVHD
LIBRARY IEEE
USE IEEESTD_LOGIC_1164ALL
USE IEEESTD_LOGIC_UNSIGNEDALL
ENTITY XSKZ IS
PORT(EN45EN25EN05MEN05BIN STD_LOGIC
AIN45MAIN45BIN STD_LOGIC_VECTOR(7 DOWNTO 0)
AIN25MAIN25BAIN05IN STD_LOGIC_VECTOR(7 DOWNTO 0)
DOUTMDOUTBOUT STD_LOGIC_VECTOR(7 DOWNTO 0))
END ENTITY XSKZ
ARCHITECTURE ART OF XSKZ IS
BEGIN
PROCESS(EN45EN25EN05MEN05B)IS
BEGIN
IF EN45'1'THEN
DOUTMELSIF EN05M'1'THEN
DOUTMELSIF EN25'1'THEN
DOUTMELSIF EN05B'1'THEN
DOUTMEND IF
END PROCESS
END ARCHITECTURE ART


四 总体仿真波形

图41 JTDKZWZBVHD全局仿真结果


图42 JTDKZWZBVHD局部仿真结果
图41图42出设计符合设计初衷完成求设计务


五 设计心体会
两周课程设计受益匪浅学三年第次动手设计东西仅仅停留理次课程设计懂理指导意义时深深知道实际动手力缺乏深深认识加强理指导实践力紧迫性重性
选交通灯控制项目简单容易原理图者状态机设计完成选择重原简单交通灯控制明白想成功完成老老实实花力气做刚开始MAX+plus Ⅱ软件说完全陌生知道操作花三天时间熟悉驾驭VHDL语言然简单仓促阵时间难接受开始两天完全知手点想放弃感觉前参加课程设计学说简单觉非
接天实验室图书馆旧书店开始出现忙碌身影拼命找资料关EDA电子系统设计书前少6研究书中关例子寻求设计思路设计方法方案时学VHDL门硬件描述语言边学边做仿佛做惊天动项目废寝忘食进行课程设计两周忙两周天晚奋战10点回冷坚持面项目做成功觉收获少起码懂VHDL语言学会系统设计方法设计思路
写显示文件程序时遇少问题特元件间连接信号定义总错误细心检查终找出错误警告排困难程序编译通控制模块仿真时然语法正确实物调制方面遇问题显示译码总显示错误老师指导学帮助利解决问题
次进行引脚连接时定细心引脚没注意开始时直正确结果次EDA课程设计历时两星期整整两星期日子里说苦甜学东西时仅巩固前学知识学书没学知识进步加强合作力
通次课程设计懂理实际相结合重理知识远远够学理知识实践相结合起理中出结真正社会服务提高实际动手力独立思考力设计程中遇问题反映出许足处努力克服缺点进行设计前必须充分考虑种情况明白设计目求然围绕着设计求中心充分考虑设计条件实现设计求设计目找优方案没考虑清楚急着做次切身体会正谓远清会摔跤

总说次设计交通控制器较成功设计中遇问题学老师辛勤指导外加努力终解决成感终觉时学知识实价值达理实际相结合目


六 参考文献

[1] 谭会生EDA西安电子电子科技学出版社2004年
[2] 谭会生瞿遂春EDA技术综合应实例分析西安电子科技学 2004
[3] 阎石数字电子技术基础[M]北京市西城区高等教育出版社2008年12月
[4] 谢美电子线路设计实验测试[Z]武汉华中科技学出版社2006年9月
[5] 郭南 电子技术EDA技术课程设计
海南学
文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

EDA课程设计时钟

EDA课程设计姓名: 学号:班级:自动化设计题目多功能数字钟电路设计设计任务及要求多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。在

文***享 3年前 上传769   0

EDA与数字系统课程设计

 课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级): 自动化2010级 姓 名(学 号): 起 讫 日

文***享 3年前 上传563   0

交通信号灯控制设计可编程控制器技术课程设计

《可编程控制器技术》课程设计题目:交通信号灯控制设计学院:信息工程与自动化系 :通信工程专业:通信工程年级:班姓名: 学号:分数:一、需求分析1.1 需求分析 随着社会的发展和进步,上路的车辆越来越多,而且道路建设往往跟不上城市发展的速度,因此城市交通问题日益突出,经常在十字路口等交通繁忙的地方发生堵塞情况,出现交通混乱。在这个时

文***品 8个月前 上传233   0

单片机交通灯课程设计交通信号灯设计

课程设计课程名称: 交通信号灯设计    学 院: 专 业: 测控技术与仪器 姓名: 学 号: 年 级: 任课教师: 2011年 X 月 X日目录一、 摘要.....................

文***品 3年前 上传839   0

交通规划原理课程设计

 交通规划课程设计Course Exercise in Traffic Planning专业班级:交通工程姓名: 班级: 交工班 学号: 设计时间:2012\6\4-2012\6\10 指导教师: 成绩综合评定表1出勤及平时表现(40%)考核项目成绩分布优良中

文***享 1年前 上传319   0

eda拔河游戏机课程设计报告

报告书写要求1、 报告的撰写要求条理清晰、语言准确、表述简明。报告中段首空两个字符,中文字体为宋体五号,数字、字符、字母为Times New Roman五号,且单倍行距。2、 报告中插图应与文字紧密配合,文图相符,技术内容正确。每个图都应配有图题(由图号和图名组成)。图题(宋体小五号)置于图下居中,其中图号按顺序编排,图名在图号之后空一格排写。图中若有分图时,分图号用(a)、(b)等置于

文***享 3年前 上传540   0

EDA课程设计报告60秒倒计时器MAXII240T

EDA课程设计报告设计题目:基于verilog的倒计时器设计 专业班级: 姓名学号: 姓名学号: 姓名学号: 指导教师:

豆***2 4年前 上传751   0

eda直流电机测控仪课程设计

eda直流电机测控仪课程设计1 绪论1.1 FPGA背景目前以高速集成硬件描述语言(VHDL)所完成的电路设计,可以经过简 单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记

文***品 1年前 上传344   0

燕山大学EDA课程设计游戏机

燕山大学EDA课程设计游戏机一、设计题目及要求1、设计题目:游戏机。2、题目要求:用三位数码管显示0—7之间的数码,按下按钮,三个数码管循环显示,抬起按钮,显示停止,当显示内容相同时,为赢。*具体附加要求:(1)三个数码管循环显示的速度不同;(2)停止时的延迟时间也要不同;(3)如果赢了游戏时,要有数码管或LED的花样显示或声音提示。 二、设计过程及内容(包括总体设计的文

文***品 7个月前 上传192   0

合肥工业大学EDA课程设计频率计

 课 程 设 计 任 务 书(2014 ~2015第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级): 自动化20XX级 姓 名(学 号): ** -------- --------- 起 讫

文***享 3年前 上传498   0

EDA课程设计报告书电话按键显示器

EDA课程设计报告书 题目:电话按键显示器 姓名: 班级:10级电子信息工程班 学号: 成绩: 一、 设计题目及要求 题目:电话按键显示器 要求: 1.设计一个具有八位显示的电话按键显示器; 2.能准确反映按键数字; 3.显示器显示从低位向高

z***u 1年前 上传279   0

基于FPGA的多路彩灯控制器的设计课程设计

 FPGA课程设计报告 学院: 信息工程学院班 级: 信息级班姓 名: 学 号: 完成时间: 2015年X月X日 评阅意见: 题目基于FPGA多路彩灯控制器的设计一、引言 21世纪,电子技术迅猛发展,高薪技术日新月异。传统的设计方法正逐步退出历史的舞台,取而代之的

文***品 3年前 上传528   0

电热水器恒温控制器的设计课程设计

XX 大 学 微型计算机控制技术 课程设计(论文)题目: 电热水器恒温控制器的设计 院(系): 电气工程学院 专业班级: 自动化 学 号: 学生姓名: 指导教师: (签字)起止时间: 2013.12.30-

文***品 3年前 上传518   0

单片机课程设计作息时间控制器的设计报告

XX大学单片机课程设计报告作息时间控制器设计姓 名: 学 号: 专业班级: 自动化班 指导老师: 所在学院:电气工程与自动化学院2009年X月X日 摘要本设计是作息时间控制器的设计,由单片机AT89C52芯片和L

文***享 3年前 上传567   0

交通工程设施设计课程设计书

交通工程设施设计课程设计题目:郑州市北三环快速通道及平面交叉路口 交通工程设施设计 学 生 姓 名: 学 号: 班 级: 专 业: 指 导 教 师:

文***品 3年前 上传591   0

交通工程设施设计课程设计

交通工程设施设计课程设计2011年X月目录Ⅰ、《交通工程设施设计》课程设计任务书Ⅱ、《交通工程设施设计》课程设计指导书Ⅲ、课程设计报告 一、 概述1、项目设计背景2、设计范围 3 、设计内容 4、设计速度 5、设计依据 二、沿线自然地理概况1、气象2、地震 三、设计原则

文***品 3年前 上传552   0

基于plc的交通灯的设计课程设计

任务说明书一、 控制要求:1、系统控制示意图由十字路口交通灯示意图可以知道,在南北和东西主干道上各有一盏红绿灯;在南北和东西人行道上各有一盏红灯和绿灯。2、控制要求 启动及停止按钮的控制,当按下启动按钮时,信号灯系统开始工作,并且周而复始的开始工作,当按下停止按钮时信号灯停止工作,且信号灯的状态回复到初始状态。

文***品 3年前 上传888   0

数字电子钟逻辑电路设计《EDA技术》课程设计报告

序号 综合成绩优秀( )良好( )中等( )及格( )不及格( )教师(签名)批改日期《EDA技术》课程设计报告 课题: 数字电子钟逻辑电路设计院系 电子与电气工程学院 专业 电气工程及其自动化 班级 学号 姓名

文***品 3年前 上传541   0

eda课程设计vhdl语言的简易出租车计费器设计

XX 学 院《EDA技术》课 程 设 计 题 目 简易出租车计费器设计 系 (部) 信息工程系 班 级 电本班 姓 名 学 号 指导教师

文***享 3年前 上传541   0

基于Arduino的智能交通信号灯设计

摘 要智能交通灯控制系统对交通控制系统的完善有着重要作用,为了更好地控制交通系统,缓解交通压力,本论文基于Arduino设计智能交通信号灯,根据道口检测的交通车流量,实现红绿灯自动切换的功能。基于Arduino的交通信号灯是以型号为ATmega328的AVR单片机作为控制器的主控制芯片。系统可实现以下五种功能:(1)、可以实现数码管倒计时的控制;(2)、能实现信号灯显示的基本功能;(

平***苏 3年前 上传689   0

十字路口交通信号灯PLC控制系统课程设计

十字路口交通信号灯PLC控制系统摘要:随着近年来科技和交通工具的发展与人民生活水平不断提高,私家车拥有量越来越多,与之而来的就是日益严重的交通问题。于是我分析并结合城乡交通的实际情况阐述交通灯控制系统的工作原理,自行设计一种简单实用的城市交通灯控制系统的硬件电路设计方案。本次设计的控制系统,能根据不同的路况要求,改变各信号灯的工作时间和工作状况,使之与当前路况匹配,更加充分利用好人力物力资源

文***享 1年前 上传372   0

电梯控制器 Verilog语言课程设计

目录摘 要 2正文 31设计目的及要求 32设计原理 32.1 设计实现原理 32.2项目分块及其实现方案 52.3电梯控制器的流程图 63设计内容 73.1基本状态设计 73.2模块设计 83.3波形仿真 9总结与致谢 13参考文献 14附录 15摘 要电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全

文***品 1年前 上传294   0

数电课程设计报告 音乐彩灯控制器

 电子技术课程设计 题目名称: 音乐彩灯控制器 1. 设计任务和要求 (1) 任务 设计一种组合式彩灯控制电路,该电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作设计实验。 (2) 要求 ① 第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 ② 第二路按音量的

z***u 5年前 上传1186   0

基于单片机控制的交通信号灯的设计与实现毕业设计

南 京 工 程 学 院毕业设计说明书(论文)作 者: 学 号: 系 部: 专 业: 通信工程 题 目: 基于单片机控制的交通信号灯 的设计与实现 指导者:

文***品 6个月前 上传170   0

基于单片机的温湿度控制器设计课程设计报告

《智能仪器仪表设计与调试》课程设计报告 学 院: 电气与信息工程学院 专业班级: 测控10-01 学生姓名: 学 号: 设计地点(单位)_________ __I506_ _____ ___ __设计题目:_____ _

文***品 1年前 上传310   0