| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

EDA课程设计时钟

文***享

贡献于2020-12-31

字数:4757




EDA
课程设计




姓名:
学号:
班级:动化







设计题目
功数字钟电路设计
设计务求
功数字钟应该具功:显示时—分—秒时分钟调等基功整钟表工作应该1Hz信号作进行样时钟信号秒增加1秒秒59秒跳转00秒时分钟增加1分时分钟59分跳转00分时时增加1时时范围0~23时
实验中显示方便分钟秒钟显示范围0~593位二进制码显示十位四位二进制码(BCD码)显示位时范围0~232位二进制码显示十位4位二进制码(BCD码)显示位
实验中七段码扫描方式 显示然时钟需1Hz时钟信号扫描需较高频率信号准确1Hz信号必须输入系统时钟50Mhz进行分频
调整时间键键模块S1S2S1调节时次时增加时S2调整分钟次分钟增加分钟外S8键作系统时钟复位复位全部显示00—00—00
三.基Verilog HDL语言电路设计仿真综合
()顶层模块
程序采结构化设计方法分彼独立定联系三模块图1示:

图1:顶层结构框图
(二)子模块
1分频器
分频器作50Mhz系统时钟信号进行分频频率1000hz信号作显示器输入信号


源程序:
module fenpin(input CP output CPout )

reg CPout
reg [310] Cout
reg CP_En

always @(posedge CP ) 50MHz分频1kHz
begin
Cout < (Cout 32'd50000) 32'd0 (Cout + 32'd1)
CP_En < (Cout 32'd50000) 1'd1 1'd0
CPout < CP_En
end
endmodule
功仿真波形图2示(五分频例):

2控制器计数器
控制器作调整时分钟值实现清零功计数器作实现分钟秒钟满60进1时23跳00达59分55秒时候LED灯会闪烁进行报时控制器计数器驱动信号频率均1Hz分频器输出信号进入控制器进行二次分频1Khz变1Hz

if(Clk_En)
begin
if(R11)
begin
if(Hour<24)
HourHour+1
if(Hour24)
begin
Hour0
end
R10
end
if(R21)
begin
if(Minute<60)
MinuteMinute+1
if(Minute60)
begin
Minute0
if(Hour<24)
HourHour+1
if(Hour24)
begin
Hour0
End
end
R20
end
if(Second<60)
SecondSecond+1
if(Second60)
begin Second0
if(Minute<60)
MinuteMinute+1



源程序:
module kongzhiqi( CPoutS1S2RET
HourMinuteSecondLED )

input CPoutS1S2RET

output [50] Hour
output [50] Minute
output [50] Second
output LED

reg [50] Hour
reg [50] Minute
reg [50] Second
reg R1
reg R2R8LED
reg [100] Cout
reg Clk_En

always@(posedge CPout)
begin
if(S10)
begin
R11
end
if(S20)
begin
R21
end
if(RET0)
begin
R81
end
Cout(Cout32'd1000)32'd0(Cout + 32'd1)
Clk_En(Cout32'd1000)1'd11'd0
LED1
end
else
LED0
if(R81)清零
begin
Hour0
Minute0
Second0
R80
end
end
end
endmod
if(Minute60)
begin
Minute0
if(Hour<24)
HourHour+1
if(Hour24)
begin
Hour0
end
end
end
if((Minute59)&&(Second>55))
begin
if(LED1)
LED0
else

功仿真波形图3示:

3.显示器
显示器作时—分—秒值数码次显示出分频器输出1Khz信号作数码扫描信号SEL 表示三数码选择位取值表示八数码左右次111~000LEDGA表示七段数码取值决定特定位数显示数字

源程序:
4'b0000 Led 7'b0111_111
4'b0001 Led 7'b0000_110
4'b0010 Led 7'b1011_011
4'b0011 Led 7'b1001_111
4'b0100 Led 7'b1100_110
4'b0101 Led 7'b1101_101
4'b0110 Led 7'b1111_101
4'b0111 Led 7'b0000_111
4'b1000 Led 7'b1111_111
4'b1001 Led 7'b1101_111
default Led 7'b0000_000
endcase
if(SEL3'b100)
Led7'b1000_000
if(SEL3'b011)
case(shiwei2)
4'b0000 Led 7'b0111_111
4'b0001 Led 7'b0000_110
4'b0010 Led 7'b1011_011
4'b0011 Led 7'b1001_111
4'b0100 Led 7'b1100_110


module xianshi( CPoutHourMinute
SecondSELLEDAG )

input CPout
input HourMinute Second
output SELLEDAG

reg [20] SEL
reg [60] Led
reg [30] shi1ge1shi2ge2shi3ge3

always @(posedge CPout )
begin
shiwei1Hour10
gewei1Hour10
shiwei2Minute10
gewei2Minute10
shiwei3Second10
gewei3Second10
if(SEL3'b110)
case(shiwei1)
4'b0000 Led 7'b0111_111
4'b0001 Led 7'b0000_110
4'b0010 Led 7'b1011_011
4'b0011 Led 7'b1001_111
4'b0100 Led 7'b1100_110
4'b0101 Led 7'b1101_101
4'b0110 Led 7'b1111_101
4'b0111 Led 7'b0000_111
4'b1000 Led 7'b1111_111
4'b1001 Led 7'b1101_111
default Led 7'b0000_000
endcase
if(SEL3'b101)
case(gewei1)
default Led 7'b0000_000
endcase
if(SEL3'b111)
case(gewei3)
4'b0000 Led 7'b0111_111
4'b0001 Led 7'b0000_110
4'b0010 Led 7'b1011_011
4'b0011 Led 7'b1001_111
4'b0100 Led 7'b1100_110
4'b0101 Led 7'b1101_101
4'b0110 Led 7'b1111_101
4'b0111 Led 7'b0000_111
4'b1000 Led 7'b1111_111
4'b1001 Led 7'b1101_111
default Led 7'b0000_000
endcase
SEL SEL + 3'd1
end
assign
LEDAGLed
endmodule

4'b0101 Led 7'b1101_101
4'b0110 Led 7'b1111_101
4'b0111 Led 7'b0000_111
4'b1000 Led 7'b1111_111
4'b1001 Led 7'b1101_111
default Led 7'b0000_000
endcase
if(SEL3'b010)
case(gewei2)
4'b0000 Led 7'b0111_111
4'b0001 Led 7'b0000_110
4'b0010 Led 7'b1011_011
4'b0011 Led 7'b1001_111
4'b0100 Led 7'b1100_110
4'b0101 Led 7'b1101_101
4'b0110 Led 7'b1111_101
4'b0111 Led 7'b0000_111
4'b1000 Led 7'b1111_111
4'b1001 Led 7'b1101_111
default Led 7'b0000_000
endcase
if(SEL3'b001)
Led7'b1000_000
if(SEL3'b000)
case(shiwei3)
4'b0000 Led 7'b0111_111
4'b0001 Led 7'b0000_110
4'b0010 Led 7'b1011_011
4'b0011 Led 7'b1001_111
4'b0100 Led 7'b1100_110
4'b0101 Led 7'b1101_101
4'b0110 Led 7'b1111_101
4'b0111 Led 7'b0000_111
4'b1000 Led 7'b1111_111
4'b1001 Led 7'b1101_111

总结体会
次课程设计然短短四天收获通次实掌握EDA设计基流程(设计输入—编译—调试—仿真—载)领会顶结构化设计优点具备初步EDA程序设计力
感觉程序难方顶层模块设计顶层模块需子模块电路原理机结合起需扎实理功底正欠缺相言子模块设计容易Verilog语言C语言相似处明白实验原理难完成水高体现程序简洁否Verilog源程序编写容易出现错误需耐心调试情况长串错误意错误引起程序屡调屡错时候学沟通交流意句话启发问题迎刃解
次实感触深行态度问题力端正态度抛弃放弃取令满意成绩衷感谢次课程设计中巨帮助老师学
文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

EDA自动电子钟 数字时钟

燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目自动电子钟设计技术参数●用24小时制进行时间显示●能够显示小时,分钟●上电后从“00:00:00”开始显示设计要求●采用6个静态数码管显示时间工作量●学会使用Max+PlusII软件和实验

静***雅 2年前 上传454   0

EDA与数字系统课程设计

 课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级): 自动化2010级 姓 名(学 号): 起 讫 日

文***享 3年前 上传564   0

数电电子时钟课程设计

专业课程设计报告题目:数字电子钟课程设计系 别 电气工程系 专业班级 电气班 学生姓名 指导教师 提交日期 2011年X月X日 一、 设计目的 3二、 设计要求和设计指标 3三、设计内容 43.1方案

文***品 3年前 上传678   0

eda拔河游戏机课程设计报告

报告书写要求1、 报告的撰写要求条理清晰、语言准确、表述简明。报告中段首空两个字符,中文字体为宋体五号,数字、字符、字母为Times New Roman五号,且单倍行距。2、 报告中插图应与文字紧密配合,文图相符,技术内容正确。每个图都应配有图题(由图号和图名组成)。图题(宋体小五号)置于图下居中,其中图号按顺序编排,图名在图号之后空一格排写。图中若有分图时,分图号用(a)、(b)等置于

文***享 3年前 上传540   0

EDA课程设计报告60秒倒计时器MAXII240T

EDA课程设计报告设计题目:基于verilog的倒计时器设计 专业班级: 姓名学号: 姓名学号: 姓名学号: 指导教师:

豆***2 4年前 上传755   0

eda直流电机测控仪课程设计

eda直流电机测控仪课程设计1 绪论1.1 FPGA背景目前以高速集成硬件描述语言(VHDL)所完成的电路设计,可以经过简 单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记

文***品 1年前 上传346   0

燕山大学EDA课程设计游戏机

燕山大学EDA课程设计游戏机一、设计题目及要求1、设计题目:游戏机。2、题目要求:用三位数码管显示0—7之间的数码,按下按钮,三个数码管循环显示,抬起按钮,显示停止,当显示内容相同时,为赢。*具体附加要求:(1)三个数码管循环显示的速度不同;(2)停止时的延迟时间也要不同;(3)如果赢了游戏时,要有数码管或LED的花样显示或声音提示。 二、设计过程及内容(包括总体设计的文

文***品 7个月前 上传194   0

合肥工业大学EDA课程设计频率计

 课 程 设 计 任 务 书(2014 ~2015第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级): 自动化20XX级 姓 名(学 号): ** -------- --------- 起 讫

文***享 3年前 上传498   0

EDA课程设计报告书电话按键显示器

EDA课程设计报告书 题目:电话按键显示器 姓名: 班级:10级电子信息工程班 学号: 成绩: 一、 设计题目及要求 题目:电话按键显示器 要求: 1.设计一个具有八位显示的电话按键显示器; 2.能准确反映按键数字; 3.显示器显示从低位向高

z***u 1年前 上传279   0

数电课程设计数字电子时钟的实现

课 程 设 计 报 告设计题目:数字电子时钟的设计与实现班 级:学 号:姓 名: 指导教师:设计时间:摘 要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数

文***品 4年前 上传1862   0

基于STC89C52的电子时钟设计课程设计

目 录1.前言 12.整体设计方案 23.硬件设计方案 23.1 复位电路 23.2 晶振电路 33.3 LED显示电路 43.4 按键输入电路 43.5 蜂鸣器电路 54.软件设计方案 64.1 软件设计方法 64.2 系统软件设计思想 64.3 系统主程序 74.4 中断子程序 74.4.1 定时器T0的中断程序设计 84.4.2

文***品 1年前 上传402   0

交通信号灯控制器设计EDA课程设计

信息科学技术学院《EDA技术课程设计报告》专业班级:2008级通信工程姓 名: 学 号:指导老师: 目 录课程设计的要求及目的……………………………………………2前言………………………………………………………………… 2一 设计内容与目的……………………………………………… 21.1 设计内容……………………………

文***享 11个月前 上传315   0

数字电子钟逻辑电路设计《EDA技术》课程设计报告

序号 综合成绩优秀( )良好( )中等( )及格( )不及格( )教师(签名)批改日期《EDA技术》课程设计报告 课题: 数字电子钟逻辑电路设计院系 电子与电气工程学院 专业 电气工程及其自动化 班级 学号 姓名

文***品 3年前 上传543   0

eda课程设计vhdl语言的简易出租车计费器设计

XX 学 院《EDA技术》课 程 设 计 题 目 简易出租车计费器设计 系 (部) 信息工程系 班 级 电本班 姓 名 学 号 指导教师

文***享 3年前 上传542   0

电子技术课程设计-可编程时钟控制器

电子技术课程设计可编程时钟控制器目录设计功能要求 3方案分析选择与系统框图 4模块功能分析 6仿真\下载结果 9主要经验 10操作指南 11相关代码 12设计功能要求一.设计任务数字钟不仅可以通过数字直观地显示日历,时间,用音乐及语言报时,还可以定时发出各种声,光,电信号,以及启动各种设备实现实时控制,时间顺序控制.如作息时间自动打铃,家电设备自动定时启动/停止,生产

文***品 3年前 上传579   0

单片机课程设计用proteus实现一个电子时钟的设计

单片机原理课程设计任务书 课程名称 单片机原理 专 业 通信工程级 指导教师 职 称 讲 师 设计地点 通信工程实验室 设计时间 2011 一、课程设计的内容和要

文***品 3年前 上传463   0

集成电路EDA技术

本文简述了集成电路的传统设计方法和现代设计方法,并对两者的区别进行了比较,以实例为基础介绍了集成电路的设计过程。对可编程逻辑器件的定义、分类、功能等进行详尽的阐述,介绍了可编程逻辑器件的设计过程,以可编程逻辑器件软件设计平台QuartusII为例,介绍了可编程逻辑器件软件设计平台的功能及使用方法,并对其他种类软件平台作出比较。对国内外集成电路设计公司作简要介绍,分析了PLD和FPGA芯片上的文字信息。

4年前 上传1436   0

EDA作业2-LED彩灯

EDA作业二--LED彩灯一、实验任务让8个LED实现彩灯的功能,并进行波形仿真。通过这个实验,进一步掌握采用计数与判断的方式来实现分频的VHDL的编程方法以及移位运算符的使用。二、实验环境软件实验环境为Quartus II 8.1开发软件。三、实验原理彩灯,就是让LED以一定的规律显示不同的亮灭的组合。本彩灯的设计采用了4种的亮灭方式。每来一个时钟脉冲CLK,计数器就加1。每当判断

文***品 11个月前 上传256   0

基于单片机的时钟设计

随着时间的推移,事物的发展越来越趋向于高科技,单片机的出现,受到了社会各界的广泛关注,这是由于单片机具备丰富的功能。单片机的特点为:尺寸小,价格低,抗干扰强,开发容易等。运用的范围也很广,如检测,仪表,电子,机电等设备的各方面都有不可或缺的作用。

王***朝 4年前 上传1038   0

关于时钟系统在交换系统中的应用

关于时钟系统在交换系统中的应用  时钟系统在交换系统中的应用如下文  1、节点、中继交换提供一定质量的定时信号;  2、上级局时钟进行同步,保证局间的数据传输的可靠性,正确性。  基于以上原因,在交换设备中对时钟的要求也是非常严格的:  1、一般局用交换设备的系统时钟可为二、三级时钟,可按局级别及上级局的时钟级别而定。  最低准确度:±4×10e-7  最大频率偏移:

x***1 9年前 上传438   0

桌面时钟设计与实现实习报告

XX大学信息工程学院桌面时钟设计与实现实习报告桌面时钟设计与实现学 号 姓 名 专业班级 指导教师 实践日期2014年1月6日-2014年1月17日目 录一、综合训练目的与要求 1二、综合训练任务 1(1)需求分析 1(2)任务 1三、总体设计 2(1)主要模块划分 2(2)模块说明 2四、详细设计说明 3(

文***享 4年前 上传717   0

论文-多功能时钟毕业设计

本设计采用LCD液晶屏幕显示系统,以STC89C52RC单片机为核心,由键盘、温度采集、定时闹铃、日期提醒等功能模块组成。基于题目基本要求,本系统对时间显示、闹铃方式进和温度采集系统行了重点设计。

L***1 6年前 上传1450   0

2017年拓展游戏:扮时钟

拓展游戏:扮时钟  参与人数:3个人一组  时间:5分钟  场地:不限  道具:白板或墙壁,笔  游戏规则:  1、培训师在白板或墙壁上画一个大的时钟模型,分别将时钟的刻度标示出来。  2、培训师找3个人分别扮演时钟的秒针、分针和时针,手上拿着3种长度不一的棍子或其他道具(代表时钟的指针),在时钟前面站成一纵列(注意是背向白板或墙壁,扮演者看不到时钟模型)。  3

c***1 7年前 上传447   0

大班数学活动认识时钟的教案汇编

大班数学活动:认识时钟的教案 数学教案  【数学教案】   活动目标   1、使幼儿认识时钟,能叫出名称,基本掌握钟面的主要结构。   2、使幼儿知道时针、分针及它们之间的运转关系,能正确辨认整点、半点。   3、培养幼儿的观察力和操作能力,使幼儿建立初步的时间概念。   活动准备   教具大时钟一只,情景图一张。   学具第一、二组:“看图画指针”材料:材料纸作业单、笔各若干

/***. 5年前 上传1073   0

EPG服务器时钟同步说明

EPG服务器时钟同步说明  1、利用一台HP 140G3服务器(服务器位置更改,需要石尚坤更新设备记录)作为四达EPG服务器的时钟同步服务器端。服务器标签: EPG时钟同步,服务器IP:192.168.11.227和192.168.25.227(双网卡) 2、先将该服务器(IP:192.168.11.227)与网管服务器(IP:192.168.11.1)同步,再将四达EPG服务器(主:19

w***1 12年前 上传12172   0