| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

直接数字频率合成器实验

文***品

贡献于2023-03-21

字数:6682



EDA设计II
实验名称直接数字频率合成器














学号
姓名
院系电子工程光电技术学院
专业信息抗技术
指导老师
时间2011年X月X日

目 录
摘 关键词¨¨¨¨¨¨¨¨¨¨¨¨¨¨ ¨¨¨¨第3页
设计基求¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第4页
方案证 ¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨ ¨第5页
子模块设计原理说明
波形存储器模块¨¨¨¨¨¨¨¨¨¨¨¨¨¨ ¨第7页
相位累加器模块¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第8页
相位调节器¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第9页
波形输出模块¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第9页
频率测定模块¨¨¨¨¨¨¨¨¨ ¨¨¨¨¨¨¨ 第11页
译码模块¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第13页
显示模块¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第14页
测频模块¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨¨第14页
调试仿真编程载程 ¨¨¨¨¨¨¨¨¨¨¨¨第15页
遇问题解决方案 ¨¨¨¨¨¨¨¨¨¨¨¨¨第16页
实验感想¨¨¨¨¨¨ ¨¨¨¨¨¨¨¨¨¨¨¨¨¨第16页
总电路图¨¨¨¨¨¨ ¨¨¨¨¨¨¨¨¨¨¨¨¨¨第17页
参考文献¨¨¨¨¨¨ ¨¨ ¨¨¨¨¨¨¨¨¨¨¨¨第17页




摘关键字

篇报告介绍EDA设计完成直接数字频率合成器DDS程该直接数字频率合成器输出频率相位均控制输出正余弦三角波锯齿波方波四种波形DA转换示波器显示控制电路作实现保持清零等功外数码时显示输出测频率相位控制字频率控制字设计利QuartusII70软件进行DDS设计载SmartSOPC实验系统中进行硬件测试
Abstract
This report introduces the EDA design is completed with Direct Digital Synthesis DDS process The direct digital frequency synthesis of the output frequency and phase can control and can output sine(cosine) triangle wave sawtooth square waveform after conversion after also displayed on the oscilloscope in the role of the control circuit can be Implementation maintained cleared function and also shows the output frequency phase control characters frequency control word This design uses DDS QuartusII 70 software design the final download SmartSOPC experimental system hardware to test
关键词
EDA设计直接数字频率合成器DDSQuartus II 70软件SmartSOPC实验系统
Kyewords
EDA designDirect Digital Synthesizer DDS QuartusII 70software SmartSOPC experiment system

设计求说明
1) 利QuartusII软件SmartSOPC实验箱实现DDS设计
2) DDS中波形存储器模块Altera公司Cyclone系列FPGA
芯片中ROM实现ROM结构配置成4096×10类型
3) 具体参数求:频率控制字K取4位基准频率fc1MHz
实验板系统时钟分频
4) 系统具清零功
5) 利实验箱DA转换器件ROM输出数字信号转换模拟信号够通示波器观察正弦波形
6) 通开关控制改变DDS频率相位控制字示波器观察加验证
7) 数码显示生成波形频率
8) 充分考虑ROM结构正弦函数特点进行合理配置提高计算精度
9) 设计输出种波形(三角波锯齿波方波等)功波形发生器
10)考虑节省ROM空间设计例提供四分波形者半波形

方案证
DDSDirect Digital Synthesizer数字频率合成器种基全数字技术相位概念出发直接合成需波形种频率合成技术种新型数字频率合成技术具相带宽频率转换时间短分辨力高相位连续性等优点容易实现频率相位幅度数控调制广泛应通讯领域

DDS基结构图



工作原理说明
DDS基结构相位累加器相位调制器正弦波数表(ROM)DA转换器构成相位累加器N位加法器N位寄存器构成CLOCK加法器频率控制字fwrod累加寄存器输出累加相位数相加相加结果反馈送累加寄存器数输入端加法器时钟脉作继续频率控制字相加样相位累加器时钟作断频率控制字进行线性相位累加相位累加器时钟脉输入时频率控制字累加相
位累加器输出数作波形存储器相位取样址样存储波形存储器波形抽样值进行找表查出完成相位幅值转换
相位累加器N位相正弦信号相位精度定N位分辨率12N系统时钟频率fc频率控制字fword1输出频率fOUTfC2N频率相基频fwordK输出频率
foutK* fC2N
系统输入时钟频率fC变时输出信号频率频率控制字K决定式
K2N*foutfC
中K频率字注意K取整时会误差
选取ROM址时间隔选项相位寄存器输出位数D般取1016位种截取方法称截断式法减少ROM容量D太会导致ROM容量成倍升输出精度受DA位数限制未改善
设计中N取12位基准频率fc取1MHzkf0000(0)1111(15)输出频率03662Hz


子模块设计
DDS模块设计
LPMROM设定(正弦例)
首先VC程序产生rom数值程序
#includestdioh
#includemathh
int main(int argcchar*argv[])
{
int i
double s
for(i0i<4096i++)
{
ssin(atan(1)*8*i4096)
printf(dx\ni(int)((s+1)*10232))
}
return 0
}
述程序编译生成执行文件rogenexeDOS命令执行
rogen>sinemif
生成sinemif文件加*mif文件头部说明
格式 DEPTH256
WIDTH10
ADDRESS_RADIXDEC
DATA_RADIXHEX
CONTENT
BEGIN
ROM中数占行

END
然设定LPMROM
元器库中选取LPMROM设置ROM信息数宽度10bits数数4096设置ROM寄存器输出需时钟异步清零信号指定ROM初始化数源选择刚刚生成mif文件然点击finish生成ROM单元器件图

波形发生器rom生成方式类似
频率预置调节电路
设计原理:
K相位增量频率控制字DDS输出频率表达式foutK* fC2NK1时DDS输出低频率(频率分辨率)fc2NDDS高输出频率Nyquist采样定理决定fc2说K值2N1
设计模块时74161设计模16模块1HZ信号输入变化该模块清零保持端开关控制便计数需值时保持清零输出作频率控制字低四位高八位置零输入累加器进行累加
设计中N取12位基准频率fc取1MHzkf0000(0)1111(15)输出频率03662Hz
累加器
累加器12位加法器12位寄存器两模块组成累加器时钟fc控制频率控制字K步长进行累加运算产生需频率控制数寄存器时钟控制加法器次计算结果寄存反馈回加法器进行次计算实现累加功时寄存器时钟控制累加结果送入相位控制模块
加法器

library IEEE
use IEEESTD_LOGIC_1164ALL
use IEEESTD_LOGIC_UNSIGNEDALL
entity adder is
port(
resetclkin std_logic
op1op2in std_logic_vector(11 downto 0)
ciout std_logic
resultout std_logic_vector(11 downto 0)
)
end adder
architecture behave of adder is
begin
process(resetclk)
variable result_tstd_logic_vector(11 downto 0)
variable ci_tstd_logic
begin
if(reset'0')then
ci<'0'
result<(others>'0')
elsif(clk'event and clk'1')then
ci_t'0'
for i in 0 to 11 loop
result_t(i)op1(i)xor op2(i)xor ci_t
ci_t(op1(i)and op2(i))or(ci_t and(op1(i)or op2(i)))
end loop
ciresultend if
end process
end behave

寄存器

library ieee
use ieeestd_logic_1164all
ENTITY shifter IS
PORT
(data in std_logic_vector(11downto 0)
sl_insr_inresetclk IN std_logic
mode in std_logic_vector(1 downto 0)
qout buffer std_logic_vector(11 downto 0))
END shifter

ARCHITECTURE behave OF shifter IS
signal q1q0std_logic
BEGIN
PROCESS(clk)
BEGIN
IF(clk'EVENT AND clk'1')THEN
if(reset'1')then
qout<(others>'0')
else
case mode is
when 01>
qout when 10>
qout when 11>
qout when others>null
end case
end if
END IF
END PROCESS
END behave


累加器模块图



相位控制
相位控制模块实际12位加法器前累加器输出结果高四位四位相位控制字Q相加构成相位控制模块中清零保持端分开关控制便需相位
四位相位控制字Q模16产生

DDS整体模块图
图中LPM_MUX数选择器功
控制开关K输入0时输出正弦波形
控制开关K输入1时输出三角波


频率测定模块
05Hz脉测信号高位相等测1s波形数测信号频率

原理波形示意图





测频电路


译码电路
频率控制字相位控制字四位二进制码转换成8421BCD码数码显示先通译码电路实现转换输入显示电路应转化表
频率控制字kf
十进制位(kfg[30])
十进制十位(kfs[30])
0000(0)
0000(0)
0000
0001(1)
0001(1)
0000
0010(2)
0010(2)
0000
0011(3)
0011(3)
0000
0100(4)
0100(4)
0000
0101(5)
0101(5)
0000
0110(6)
0110(6)
0000
0111(7)
0111(7)
0000
1000(8)
1000(8)
0000
1001(9)
1001(9)
0000
1010(10)
0000(0)
0001
1011(11)
0001(1)
0001
1100(12)
0010(2)
0001
1101(13)
0011(3)
0001
1110(14)
0100(4)
0001
1111(15)
0101(5)
0001


带S标表示十进制十位带g标表示十进制位
显示电路
旧采动态显示方法六数码增加七相应模六计数器改模七计数器余原理变


分频模块
次实验需频率1hz1khz10khz100khz1Mhz05hz
VHDL语言描述


LIBRARY IEEE
USE IEEESTD_LOGIC_1164ALL
ENTITY fenpin IS
PORT(clk IN STD_LOGIC
hz0hz1hz2hz3hz4hz5buffer STD_LOGIC)
END fenpin
ARCHITECTURE behave OF fenpin IS
SIGNAL count0count1count2count3count4count5 integer range 0 to 48000000
BEGIN
PROCESS(clk)
BEGIN
IF (clk'1') THEN
count0count1count2count3count4count5IF(count023999999) Then
count0<0
hz0END IF
IF(count123999) Then
count1<0
hz1END IF
IF(count22399) Then
count2<0
hz2END IF
IF(count3239) Then
count3<0
hz3END IF
IF(count423) Then
count4<0
hz4END IF
IF(count547999999) Then
count5<0
hz5END IF
END IF
END PROCESS
END behave


仿真载
波形输出示波器
截仅取中张进行说明
相位差相位差正弦信号


相位差相位差三角波信号

性检测(单位hz)
频率控制字
理输出频率
示波器显示频率
测频显示频率
1
2441
244053
244
6
14648
1464562
1464
15
36621
3662086
3661
误差均允许范围

遇问题解决方案
问题相位控制改变精度高
解决方案先控制相位控制位高位进行粗调然控制低位进行微调迅速提高精度
实验感想
通次实验进步提高VHDL语言运力QuartusII70软件熟悉程度实验中直追求基功实现没严格求老师提出改进求没认真思考错熟化运知识绝佳机会令悔莫完成实验学老师进行交流确定想法恍然悟应珍惜次实践机会着实增强解决问题力

总电路
部分级联总电路图




参考文献
1 蒋立编著数字电路南京理工学出版社
2 南京理工学电子技术中心编EDA设计实验指导书
3 付文红花汉兵编著EDA技术实验机械工业出版社

文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

简易数字频率计(数字电路课程设计)

数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1HZ—9.999KHZ,闸门时间1s;10 HZ—99.99KHZ,闸门时间0.1s;100 HZ—999.9KHZ,闸门时间10ms;1 KHZ—9999KHZ,闸门时间1ms;2)显示方式:四位十进制数3)当被测

文***品 3年前 上传819   0

数字图像处理实验报告

数字图像处理试验报告实验二:数字图像得空间滤波与频域滤波姓名:XX学号:2XXXXXXX 实验日期:2017 年 X 月 X 日1、实验目得1、 掌握图像滤波得基本定义及目得. 2、ﻩ理解空间域滤波得基本原理及方法。 3、 掌握进行图像得空域滤波得方法。 4、ﻩ掌握傅立叶变换及逆变换得基本原理方法。 5、ﻩ理解频域滤波得基本原理及方法。 6、 掌握进行图像得频域滤波得方法。

文***品 1年前 上传276   0

数字电子技术实验指导书

熟悉实验箱的面板主要设置及性能;

c***3 6年前 上传1709   0

《数字电路与逻辑设计实验》实验报告

数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。

Y***r 4年前 上传1678   1

无线频率使用方案

  无线频率使用方案     一、概述 信息技术在人们生产、生活中的作用日益突出,作为信息交流的一种重要方式,无线通信在世界范围内正发挥着日益重要的作用,而且其应用日益广泛。用户要求能在任何时候、任何地方、能和任何人利用无线通信交换任何信息,实现在有效域内的工作协调和指挥调度需求。 厂内无线覆盖问题从广义上来讲,不仅仅覆盖室内外,同时也应满足无线通信质量、网络质量、系统容量等要求。无线对

v***e 8年前 上传5121   0

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告学 院: 姓  名:实验一 简单组合逻辑设计实验内容描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。实验仿真结果实验代码主程序module compare(equal,a,b); input[7:0] a,b; output equ

文***享 3年前 上传698   0

深圳大学实验报告数字逻辑数据选择器

深 圳 大 学 实 验 报 告 课程名称: 数字逻辑与数字系统 实验项目名称: 数据选择器 学院: 计算机与软件学院 专业:

文***品 1年前 上传760   0

实验五:FIR数字滤波器设计与软件实现

实验五:FIR数字滤波器设计与软件实现一、实验指导1.实验目的(1)掌握用窗函数法设计FIR数字滤波器的原理和方法。(2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。(3)掌握FIR滤波器的快速卷积实现原理。(4)学会调用MATLAB函数设计与实现FIR滤波器。2. 实验内容及步骤(1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理;(2)调

文***享 7个月前 上传211   0

数字图像处理实验指导书(带源程序)

实验一 Matlab图像处理工具箱的初步练习 一. 实验目的1. 掌握有关数字图像处理的基本概念;2. 熟悉Matlab图像处理工具箱;3. 熟悉使用Matlab进行数字图像的读出和显示;4. 熟悉运用Matlab指令进行图像旋转和缩放变换。二. 练习1. 文件的读入与显示(1) 运行Matlab。(2) MATLAB窗口构成:在缺省的情况下,由三个窗口组成。命令窗口(

文***享 1年前 上传232   0

直接人工预算

直接人工预算 单位:元季 度1234全 年甲产品:预计生产量(辆)单位产品工时定额(工时)直接人工工时总额(工时)单位工时工资率预计直接人工成本总

z***b 10年前 上传607   0

频率和机会单元测试题

单元测试一、填空题1、在实验掷骰子时,估计“出3点〞发生的可能性为________,“出3点以上〞发生的时机为________,“不出现3点〞的可能性为________,“出奇数点〞发生的可能性为___________;2、从装有10个白球,15个红球和25个蓝球的袋中,充分搅匀后取出1个时,估计它是白球的机会为________,是红球的时机为________,是蓝球的时机为_____

静***雅 10个月前 上传176   0

「教学论文」关于“频率与概率”关系的思考

 关于“频率与概率”关系的思考 频率与概率是两个不同的概念,它们之间既有区别,又有联系,学习时要关注以下几个方面: 中国论文网 http://www.xzbu.com/9/view-803460.htm     一、 频率与概率定义不同      1. 对事件发生可能性大小的感觉通常来自观察这个事件发生的频率,即该事件实际发生的次数与试验总次数的

x***q 4年前 上传1180   0

三相异步电机直接启动特性实验模型课程设计

三相异步电动机Matlab仿真..中国石油大学胜利学院综合课程设计总结报告题 目:三相异步电机直接启动特性实验模型学生姓名: 系 别: 机械与电气工程系 专业年级: 2012级电气工程专业专升本班 指导教师:

文***享 1年前 上传582   0

数字水印和数字产品的版权保护

数字水印和数字产品的版权保护* 国家自然科学基金重点项目(70031020) . 摘要 全球迅猛发展的Internet网络给世界经济带来了新的商机,数字技术提供了与原作品同样精美的复制品,但同时使得数字媒体的版权保护问题日益突出。 本文介绍了最新的多媒体版权保护技术-数字水印的概念,在阐述数字水印的分类和特性的基础上,提出了以数字水印为基础的数字作品版权保

z***o 15年前 上传10362   0

数字水印和数字产品的版权保护

数字水印和数字产品的版权保护* 国家自然科学基金重点项目(70031020) . 孔祥维(大连理工大学信息学院, 116023)杨德礼 胡祥培(大连理工大学管理学院, 116023)摘要 全球迅猛发展的Internet网络给世界经济带来了新的商机,数字技术提供了与原作品同样精美的复制品,但同时使得数字媒体的版权保护问题日益突出。 本文介绍了最新

j***n 9年前 上传548   0

实验六单自由度系统自由衰减振动及固有频率和阻尼比的测量

实验六 单自由度系统自由衰减振动及固有频率和阻尼比的测量一、实验目的 1.了解单自由度自由衰减振动的有关概念。 2.学会用分析仪记录单自由度系统自由衰减振动的波形。 3.学会根据自由衰减振动波形确定系统的固有频率f。和阻尼比二、实验装置框图动态分析仪计算机系统及分析软件打印机或绘图仪简支梁振动传感器质量块锤 图6-1所示实验装置框图

文***品 1年前 上传526   0

直接原料明细表

直 接 原 料 明 细 表 原料名称 成品名称 生产数量 单位 直 接 原 料 单位成品平均用量及金额 规 格 数 量 单 价 单 位 金 额 数 量 金 额

碎***1 11年前 上传10429   0

直接融资情况

2019年直接融资工作情况根据会议安排,现将我县直接融资情况汇报如下:一、工作开展情况截至2019年11月底,安东集团实现直接融资3.04亿元。嘉智信诺、金鼎医药和龙华医药3家企业已挂牌“新三板”,天利粮油、瀚青生态和众望制药3家企业已挂牌“四板”,卢氏瓜子、天鹅云尖和万维化工3家企业已挂牌“专精特新”板,汇辽新材料、兆利光电、绿洲环保3家企业已挂牌省科创板。华尔泰化工和安东花园建材已申

程***玲 4年前 上传723   0

数字的写法

数字的写法1、 “1“象粉笔,是在日子格中从右上角附近起,斜线到左下角附近。不是简单的将两角连起来。2、 “2”象小鸭,起笔碰左线,再向上、向右碰线,略成半圆,斜线到左下角,碰线一横。3、“3象耳朵,起笔不碰线,向上碰线,再向下碰线,略成半圆向中间弯,在虚线以上转向右下方碰线,向下碰底线,最后,弯向上碰线。4、“4”象小旗,从上线的中间起笔,向左斜线到下格,碰左线再折右碰线。第二

k***9 9年前 上传750   0

数字式竞赛抢答器实验报告课程设计

《电子技术综合设计》题 目: 数字式竞赛抢答器 学 院: 信息工程学院 专 业: 建筑设施智能技术 学 号: 姓 名: 指导教师: 完成日期: 2011年X月 目 录一、 设计任务及系统功能简介

文***品 2年前 上传389   0

审计机关审计项目直接成本管理

审计机关审计项目直接成本管理  《审计机关审计项目质量控制办法(试行)》第五条:“审计机关制定年度审计项目计划时,应当考虑审计项目的时间、经费和人员要求……”及第十五条:“确定审计目标时,应当考虑下列因素……(五)审计的时间和经费预算……”已经提出了对成本管理的要求,要求各级审计机关对每个项目测算出比较准确的审计成本,并且在审计过程中加以控制。《审计署关于改进审计项目计划管理的实施办法》(审

l***s 10年前 上传615   0

直接人工预算(表七)

直接人工预算(表七) 单位:元 季度 1 2 3 4 全年 甲产品 预计生产量(件) 820 1020 1180 1020 4040 单位产品工时定额(工时) 3 3 3 3 3 直接人工工时总数(工时) 2460 3060 3

蓝***9 11年前 上传11665   0

直接购货订单

公司专用 附表2 直接购货订单 请详阅说明: 订货直销商姓名 订货直销商编号 收货地址 收货直销商姓名 收货直销商编号(电话) 直系直销商姓名 直系直销商编号 1. 请用钢笔或原子笔以正楷填写。

q***3 12年前 上传26495   0

直接股权投资作业流程

直接股权投资作业流程项目立项企业治理原则项目搜索建立项目信息网络接触潜在项目公司签订保密协议并接收商业计划书建立交易项目池项目所在行业分析项目公司的总体背景商业计划书探讨筛选决策会议组建交易团队投资通告函提交交易预算表预算审核批准授权预算执行超预算申请预算终止拟定项目预算项目预算项目筛选项目评估投资委员会初始会议关键交易问题探讨审议批准预算和意向

文***享 3年前 上传464   0

数字密码

数字密码  1.04551------你是我唯一                   2.045781462------你是我羁绊一生 的爱 3.04592------你是我最爱                   4.0594184---------我就是一辈子 5.1392010----一生就爱你一人               6.1920--------------依旧爱你 7.20

l***1 11年前 上传796   0