| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

文***享

贡献于2023-09-15

字数:4375

实验1 4选1数选择器设计
实验目
1.学EDA软件基操作
2.学原理图进行设计输入
3.初步掌握器件设计输入编译仿真编程程
4.学实验开发系统方法
二实验仪器器材
1.EDA开发软件 套
2.微机 台
3.实验开发系统 台
4.印机 台
三实验说明
实验通基门电路完成4选1数选择器设计初步掌握EDA设计方法中设计输入编译综合仿真编程程实验结果通实验开发系统验证实验开发系统选择高低电开关作输入选择发光二极显示输出电值
实验Quartus II 软件作设计工具求熟悉Quartus II 软件环境基操作设计输入编译适配程等
实验中设计文件求原理图方法输入实验时注意原理图编辑器方法例元件连线网络名放置方法放缩存盘退出等命令学会脚锁定编程载方法等
四实验求
1.完成4选1数选择器原理图输入进行编译
2.设计电路进行仿真验证
3.编程载实验开发系统验证设计结果
五实验结果
4选1数选择器原理图:

仿真波形图:

脚分配:























实验2 四位较器

实验目
1.设计四位二进制码较器实验开发系统验证
2.学层次化设计方法
二实验仪器器材
1.EDA开发软件 套
2.微机 台
3.实验开发系统 台
4.印机 台
5.器件材料 干
三实验说明
实验实现两4位二进制码较器输入两4位二进制码输出M(AB)G(A>B)L(A
四实验求
G




COMP4
1.硬件描述语言编写四位二进制码

较器源文件
M

2.设计进行仿真验证

3.编程载实验开发系统进行

硬件验证
L






四位较器功框图
五实验结果
四位较器VHDL源文件:

library ieee
use ieeestd_logic_1164all
entity comp4 is
port( A3A2A1A0 in std_logic
B3B2B1B0 in std_logic
GML out std_logic)
end comp4
architecture behave of comp4 is
begin
p1 process(A3A2A1A0B3B2B1B0)
variable comb1comb2 std_logic_vector(3 downto 0)
begin
comb1A3&A2&A1&A0
comb2B3&B2&B1&B0
if(comb1>com2) then G<’1’ M<’0’ L<’0’
elsif(comb1 else L<’1’ G<’0’ M<’0’
end if
end process p1
end behave
仿真波形图:


脚分配:














试验3 行加法器设计

试验目
1设计4位加法器
2体会VHDL进行逻辑描述优点
3熟悉层次化设计方法
二试验仪器器材
1EDA开发软件 套
2微机 台
3试验开发系统 台
4印机 台
5器材材料 干
三试验说明

a3
试验实现4位二进制数加法器功框图图示试验时高低电开关作输入数码作输出(发光二极)脚锁定根试验系统行安排




adder4

a2
a1
a0
b3
b2
b1
b0
ci
s3
s2
s1
s0
co
全加器功框图

四实验求
1.硬件描述语言编写4位二进制数全加器源文件
2.设计文件进行编译
3.仿真设计文件
4.编程载进行试验验证
五试验结果
4位二进制全加器源文件:
library ieee
use ieeestd_logic_1164all
entity adder4 is
port(ab in std_logic_vector(3 downto 0)
cin in std_logic_vector(3 downto 0)
sum out std_logic_vector(3 downto 0)
count out std_logic)
end adder4
architecture behavioral of adder4 is
begin
p1process(abcin)
variable vsum std_logic_vector(3 downto 0)
variable carry std_logic
begin
carrycin
for i in 0 to 3 loop
vsum(i)(a(i) xor b(i)) xor carry
carry(a(i) and b(i)) or (carry and (a(i) or b(i)))
end loop
sumcount end process p1
end behavioral
仿真波形图:

脚分配:

实验4 计数器设计

实验目
计数器实际中常时序电路模块实验目掌握HDL描述计数器类型模块基方法
二实验仪器器材
1.EDA开发软件 套
2.微机 台
3.实验开发系统 台
4.印机 台
5.器材材料 干
三实验说明
计数器数字电路系统中重功模块设计时采原理图HDL语言完成载验证时计数时钟选连续单脉数码显示计数值
四实验求
1.设计带计数允许输入端复位输入端进位输入端十进制计数器
2.编制仿真测试文件进行功仿真
3.载验证计数器功
4.述设计建立元件符号
5.述基础分设计8421BCD码二进制计数100进制步计数器
五实验结果
十进制计数器程序:
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_unsignedall
entity counter10 is
port(enresetclkin std_logic
qbuffer std_logic_vector(3 downto 0)
coout std_logic)
end counter10
architecture behav of counter10 is
begin
process(clken)
begin
if clk'event and clk'1' then
if reset'1' then q<0000
elsif en'1' then
if q<1001 then q else q<0000
end if
end if
end if
end process
co<'1' when q1001 else '0'
end behav
仿真波形图:

脚分配:

4_7译码器程序:
library ieee
use ieeestd_logic_1164all
entity decoder4_7 is
port( insign in std_logic_vector (3 downto 0)
outsign out std_logic_vector (6 downto 0))
end decoder4_7
architecture behave of decoder4_7 is
begin
process(insign)
begin
case insign is
when0000>outsign<0000001
when 0001>outsign<1001111
when 0010>outsign<0010010
when 0011>outsign<0000110
when 0100>outsign<1001100
when 0101>outsign<0100100
when 0110>outsign<1100000
when 0111>outsign<0001111
when 1000>outsign<0000000
when 1001>outsign<0001100
when OTHERS>outsign<1111111
end case
end process
end behave
100进制计数器原理图:


仿真波形图:

脚分配:







实验5 巴克码发生器

实验目
1.实现通信领域中常巴克码发生器
2.掌握规模编程逻辑器件实现时序电路方法
二实验仪器器材
1.EDA开发软件 套
2.微机 台
3.实验开发系统 台
4.印机 台
5.器件材料 干
三实验说明
巴克码发生器数通信雷达遥控领域相广泛应动产生周期性序列码实验求产生序列码信号(1110010)寄存器步时序电路实现够通实验开发系统验证试验结果两输入端中输出端时输出巴克码输出端输出节拍巴克码发生器功框图图示
四实验求
1.写出全部设计文件
2.编写测试量进行功仿真
3.载实验板验证
五实验结果
巴克码发生器程序:
library ieee
use ieeestd_logic_1164all
use ieeestd_logic_arithall
use ieeestd_logic_unsignedall
entity back is
port(clkresetin std_logic
dout1dout2out std_logic)
end back
architecture behave of back is
signal count7integer range 0 to 6
begin
process(clkreset)
begin
if reset'1' then count7<0
elsif clk'event and clk'1' then
if count7<6 then
count7 else count7<0
end if
end if
dout2 end process
process(count7)
begin
case count7 is
when 0>dout1<'1'
when 1>dout1<'1'
when 2>dout1<'1'
when 3>dout1<'0'
when 4>dout1<'0'
when 5>dout1<'1'
when 6>dout1<'0'
when others>dout1<'0'
end case
end process
end behave
仿真波形图:


脚分配:

文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 2 香币 [ 分享文档获得香币 ]

该文档为用户出售和定价!

购买文档

相关文档

EDA实验报告实验三、序列检测器的设计

实验三、序列检测器的设计一、预习内容1、预习序列发生器和检测器的基本工作原理;2、画出实验原理草图;3、写出实验的基本步骤和源程序。二、实验目的1、掌握序列检测器的工作原理;2、学会用状态机进行数字系统设计。三、实验器材PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干四、 实验要求1、 检测连续4个‘1’的状态机,画出状态转移图,并写出VHD代码;(必做

文***享 7个月前 上传233   0

60进制计数器课程设计

60进制计数器课程设计姓 名: ∧∧∧∧ 学 号:\\\\\\\\\\\\\\ 班 级: 应电班 实训地点: 指导教师: 时间:2012、5、28-2012、6、1目录1、计数器的概述·······················

z***u 1年前 上传407   0

传送带产品计数器的设计(LCD显示)

传送带产品计数器的设计(LCD显示)目录摘要 21 题目 32 电路原理图的设计 32.1 传送带产品计数器(LED显示)电路原理图 32.2 LED显示模块 32.3 置数模块 43 软件系统设计 53.1 软件系统的流程结构 53.2 C51程序 53.3计数程序模块 84 仿真及调试 115 总论 11参 考 文 献 13致 谢 14摘

文***享 3年前 上传830   0

多功能信号发生器的设计与实现

本文是制作以STM32芯片为核心的多功能信号发生器。选择使用STM32系列单片机作为多功能信号发生器的主控模块,控制整个系统的软硬件操作,实现正弦波、方波、三角波等波形的合成。

爱***享 3年前 上传824   0

60进制计数器课程设计

60进制计数器课程设计60进制计数器设计 2绪论 31.1设计背景 31.2设计思想 32器件介绍 42.1电阻 42.2电容 52.3 555秒发生器 52.4 74ls00 72.574ls90 72.674ls48 83软件仿真 93.1 555仿真图 93.2 60进制仿真图 103.3 仿真图 104焊接方法 124.1焊接方法 124.2 注意事

z***u 1年前 上传279   0

流量计数器毕业设计

本次设计的流量计数器的目是在自动化生产过程中能精确分装物料,避免因人为疏忽原因致使物料出现漏装或多装的现象,由此引入了流量计数器。流量计数器采用了红外传感器系统,利用红外光的关断原理进行计数。这样既能够为企业减少不必要的损失,同时也能够避免因企业缺斤少两的尴尬局面。本电路主要由红外检测电路、放大电路

文***享 4年前 上传749   0

兄弟DCP1608计数器清零方法

计数器清零方法计数器没有清零。第一步:1、按”Menu 功能“ 2、上下按键找到<4设备信息>3、按”Ok“键。第二步:1、按上下按键找到“6 重置硒鼓”2、按住“Ok”键不放。第三步:1、屏幕显示“↑重置 ↓退出”2、按“开始”键,面板显示空白。注:这个地方,面板一旦出现空白,立马按上下键,这样不会跳转到其他菜单,直接往上按,一直按到11即可。第四步:1、按上下按键

叮***钰 4年前 上传3561   0

构建“四位一体”机制

构建“四位一体”机制  激发农村发展活力     近年来,农民的民主意识和参与能力大幅提升,因村务决策不民主、管理无规章、财务不公开等引发的村民上访事件时有发生,而一些村干部即便是真心想为村民办好事,也常常感到“费力不讨好”。为改变这种“两难”状况,2012年来,**市探索建立以村党组织为领导核心、村民议事会为决策主体、村民委员会为执行主体、村务监督委员会为监督主体的“四位一体”新型农村基

笑***穹 5年前 上传1864   0

卷积码实验报告

 XX大学XX学院电子与信息工程学院信道编码课程设计报告 课设名称 卷积码编译及译码仿真 学生姓名 学 号 同组人

文***享 3年前 上传972   0

交通灯控制系统EDA实验报告

交通灯控制系统EDA实验报告2014姓名: 学号:一、 课题名称用状态机设计的交通信号控制系统。二、 实验目的利用所学习的EDA相关知识,完成对交通灯控制系统的设计并实现,提高对所学知识的理解和利用熟练程度。三、 设计任务设计一个十字路口交通控制系统,要求如下:a. 东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,持续时间分别是40秒、五秒和4

文***品 3年前 上传1141   0

动态系统建模(四旋翼飞行器仿真)实验报告

 动态系统建模(四旋翼飞行器仿真)实验报告 院(系)名称大飞机班 学号 学生姓名 任课教师 2011年 X月 四旋翼飞行器的建模与仿真一、实验原理I.四旋翼飞行器简介 四旋翼飞行器通过四个螺旋桨产生的升力实现飞行,原理与直

文***品 3年前 上传930   0

FPGA课程设计基于RAM的十口8位计数器

FPGA课程设计报告题 目: 基于RAM的十口8位计数器 院 系: 通信与信息工程学院 专业班级: 电科班 学生姓名: 导师姓名:

文***品 3年前 上传455   0

MSI组合逻辑电路与任意进制计数器设计

1.设计课题一:设计一个用三个键钮的保密锁。设计要求:保密锁上有三个键钮A、B、C。要求当三个键钮同时按下,或A、B两个同时按下,或A、B中任一个单独按下时,锁就能被打开(用F表示开锁信号);而当有键按下却不符合上列组合状态时,将发出报警信号(用G表示报警信号)。用数据选择器74LS153或译码器74LS138及与非门设计此保密锁逻辑电路。

w***o 10个月前 上传210   0

60进制计数器设计数字电子技术基础

《数字电子技术基础》课程设计任务书专 业: 电气工程及其自动化 班 级: 专升本班 学 号: 姓 名: 指导教师: 二零一六年X月X日目录1、计数器的概述··········

z***u 1年前 上传434   0

计数器仿真实验内容及报告提交要求-2021

实验目的:1、掌握8421BCD码计数器的工作原理,熟悉其工作波形。2、利用给定的计数器芯片实现任意进制计数器。

w***o 10个月前 上传193   0

音乐倒数计数器单片机课程设计

音乐倒数计数器单片机课程设计摘 要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。而51单片机是各单片机中最为典型和最有代表性的一种。本实验是基于MCS51系列单片机所设计的,可以实现键盘按键与数字动态显示并可以用音乐倒数的计数器。本设计基于单片机技术原理,以单片机芯片STC89C52作为核心控制器,通过硬件电路的制作以及软件程序的编

文***品 1年前 上传537   0

基于FPGA的计数器设计电子技术课程设计

XX学院电子技术课程设计 题 目 _基于FPGA的计数器设计___ _________________________ 学生姓名 _ XXX_________________ 专业班级 电子信息工程班__ __

z***u 1年前 上传322   0

基于单片机的信号发生器设计

随着电子测量技术与计算机技术的紧密结合,一种新的信号发生器-----波形发生器应运而生。所谓波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。单片机作为微型计算机的一个重要分支,有着广泛的应用范围。本文介绍的是利用89C51单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。

文***享 5年前 上传1111   0

新员工甄选比较表

新员工甄选比较表甄试职位应征人数初选合格面试日期月 日至 月 日甄选结果姓 名学历年龄工作经验专业知识态度仪表语言能力面试人员意见相关合计面试人员 签章 本文档由香当网(https://w

z***8 10年前 上传627   0

新员工甄选比较表

新员工甄选比较表 甄试职位 应征人数 初选合格 面试日期 月 日至 月 日 甄选 结果 姓 名 学历 年龄 工作经验 专业知识 态度仪表 语言能力 面试人员意见 相关 合计 面试人员 签章   本文档由香当网(https://w

s***y 8年前 上传16859   0

EDA课程设计报告60秒倒计时器MAXII240T

EDA课程设计报告设计题目:基于verilog的倒计时器设计 专业班级: 姓名学号: 姓名学号: 姓名学号: 指导教师:

豆***2 4年前 上传755   0

EDA课程设计报告书电话按键显示器

EDA课程设计报告书 题目:电话按键显示器 姓名: 班级:10级电子信息工程班 学号: 成绩: 一、 设计题目及要求 题目:电话按键显示器 要求: 1.设计一个具有八位显示的电话按键显示器; 2.能准确反映按键数字; 3.显示器显示从低位向高

z***u 1年前 上传279   0

模式识别实验报告实验一Bayes分类器设计

模式识别实验报告实验一Bayes分类器设计实验一 Bayes分类器设计【实验目的】对模式识别有一个初步的理解,能够根据自己的设计对贝叶斯决策理论算法有一个深刻地认识,理解二类分类器的设计原理。【实验原理】最小风险贝叶斯决策可按下列步骤进行:  (1)在已知,,i=1,…,c及给出待识别的的情况下,根据贝叶斯公式计算出后验概率:    j=1,…,x   (2)利用计算出的后验概率

文***品 3年前 上传638   0

实验一用打点计时器测量加速度速度实验报告

测定匀变速直线运动的加速度-实验报告班级_______________ 姓名_______________时间________________一、实验目的1、掌握判断物体是否做匀变速直线运动的方法2、测定匀变速直线运动的加速度和计算打下某点时的瞬时速度。二、实验原理1、由纸带判断物体做匀变速直线运动的方法:若x1、x2、x3、x4……为相邻计数点间的距离,若△x=x2-x1=x3

文***品 1年前 上传1058   0

路由器的基本配置实验报告

贵州大学实验报告学院: 专业: 班级:姓名学号实验组实验时间指导教师成绩实验项目名称路由器的基本配置实验目的1、 了解路由器的作用;2、 熟悉路由器的基本配置;3、 熟悉packet tracer5.3路由模拟软件的使用。实验原理1、路由器是互联网络的枢纽、“交通警察“。目前路由器已经广泛应用于各行各业,各种不同档次的产品已经成为实现

文***品 2年前 上传1247   0