工具介绍
PrimeTimesynopsys开发款专门静态时序分析软件PrimeTime PX集成软件中工具Design Compilier(DC)综合V文件进行功耗分析PrimeTime特点功耗分析DC出功耗信息精确般采PTPX进行功耗分析
PTPX进行两种模式功耗分析:
l 均功耗分析(Averaged Power Analysis)
种模式动态翻转速率默认值者户定义HDL仿真文件提供进行均功耗分析时两种格式激励文件分SAIFVCD
l 基时序功耗分析(TimeBased Power Analysis)
种模式获精确时序关功耗信息必须仿真文件提供激励TimeBased支持VCDVPDfsdb格式激励特VCD文件说支持RTL级仿真文件者Gate级仿真文件RTL LevelVCD文件read_vcd –rtl者read_vcd –zero_delay命令否者会识成Gate Level仿真文件
二PTPX工具进行功耗分析流程
PTPX进行功耗分析前准备文件:
l 逻辑综合V文件
l 静态时序分析sdc文件
l 功耗信息db文件
l RTL仿真文件VCD
21 V文件sdc文件db文件获
DC完成工程综合会图示V文件(实验室综合工程rfid2016_v2例V文件存放rfid2016_v2flowsynresult目录):
图21 V文件存放目录
V文件里面容:
图22 综合V文件容
接获取sdc文件PTPXsdc文件DCsdc文件致
db文件sdc文件样db文件综合时db文件需注意db文件必须具功耗信息
22 VCD文件获取
VCD文件指Value Change DumpVCDIEEE 1364标准中定义种ASCII文件通文件格式
包含头信息变量预定义变量值变化信息正包含信号变化信息相记录整仿真信息文件现仿真够显示波形
VCDVerilog HDL语言标准部分Verilog仿真器够查该文件里Modelsim仿真工具例说明产生VCD文件两种方法
1 Modelsim编译工程控制台命令行生成VCD文件:
****************************
VCD file filenamevcd
VCD add path *果前目录生成(simbat目录)直接VCD add *
****************************
图23命令行生成VCD文件
注意:控制台前先restart生成VCD运行仿真
2 直接testbench中系统函数Dump生成VCD文件次testbench tb_soc_xcs例Initial块中加入两行代码:
****************************
dumpfile(top_soc_xcsvcd)top_soc_xcs生成VCD文件名
dumpvars(0tb_soc_xcsutop_soc_xcs)tb_soc_xcsutop_soc_xcstestbench模块名例化整工程顶层模块名果样命名Modelsim仿真时会报错
****************************
种方式生成VCD文件时点击Modelsim中Run图标VCD文件中已包含全部变量值变化信息
图24系统函数生成VCD文件
生成VCD文件容:
图25 VCD文件容示例
23 TCL脚撰写
TCL脚PTPX时诸命令综合起样进行功耗分析会简单明许脚需pt_shell中source脚
1 服务器中右键open terminal
2 CdPT目录
3 输入pt_shell进入命令行模式者primetime进入图形界面
4 CdTCL脚目录
5 输入source filenametcl进行功耗分析
实际流程示:
图26TCL脚进行功耗分析
TCL脚:
####################################
#
# set the power analysis mode
#
####################################
#
set power_enable_analysis TRUE
set power_analysis_mode time_based
#
####################################
#
# read and link the gate level netlist
#
####################################
#
set search_path data
set MaxLibName sc9mc_logic0040ll_base_rvt_c50_tt_typical_max_1p20v_125c
set MaxLibDbFile {MaxLibName}db
set link_library * sc9mc_logic0040ll_base_rvt_c50_tt_typical_max_1p20v_125cdb
read_verilog homerfidzilongpower_analysedatatop_soc_xcs_synv
current_design top_soc_xcs
link
#
####################################
#
# READ SDC and set transition time or annotate parasitics
#
####################################
#
read_sdc homerfidzilongpower_analysedatatop_soc_xcs_SYNsdc echo
#
####################################
#
# Checkupdateor report timing
#
####################################
#
check_timing
update_timing
report_timing
#
####################################
#
# read switching activity file
#
####################################
#
read_vcd rtl datatop_soc_xcsvcd strip_path tb_soc_xcsutop_soc_xcs
report_switching_activity list_not_annotated
#
####################################
#
check_power
update_power
set_power_analysis_options waveform_format out waveform_output vcd
report_power –hierarchy
####################################
注意read_sdc定加echo否会显示法读取sdc文件外sdc文件中定义current designtcl脚中定义ptpx读入sdc时会报错需sdc中current design删掉外read_vcd时strip_path面带路径dump file时带路径否读取VCD文件中信息
24 PTPX查功耗曲线
TCL脚运行完会出power report图示:
图27功耗报告
脚运行果没报告error会脚级目录生成fsdb文件图形界面开文件查功耗曲线图形界面开方法pt_shell中输入gui_start
图28 pt_shell中开图形界面
图29图形界面示例
图形界面开菜单栏中选择power>View Waveforms导入前生成fsdb格式文件查波形查波形界面选择signals>get signals选择查模块信号波形里整模块功耗例左边窗口选择右窗口选择Pc(top_soc_xcs)图示:
图210 get signals中选择模块
整模块功耗 图示:
图211整体工程功耗
图中整模块功耗峰值616mW
三参考文献
eetopcn_PrimeTime PX User Guide 201006
文档香网(httpswwwxiangdangnet)户传
《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档