| 注册
home doc ppt pdf
请输入搜索内容

热门搜索

年终总结个人简历事迹材料租赁合同演讲稿项目管理职场社交

使用PrimeTime PX进行功耗分析

佳***旺

贡献于2019-03-11

字数:3929

PrimeTime PX进行功耗分析
工具介绍
PrimeTimesynopsys开发款专门静态时序分析软件PrimeTime PX集成软件中工具Design Compilier(DC)综合V文件进行功耗分析PrimeTime特点功耗分析DC出功耗信息精确般采PTPX进行功耗分析
PTPX进行两种模式功耗分析:
l 均功耗分析(Averaged Power Analysis)
种模式动态翻转速率默认值者户定义HDL仿真文件提供进行均功耗分析时两种格式激励文件分SAIFVCD
l 基时序功耗分析(TimeBased Power Analysis)
种模式获精确时序关功耗信息必须仿真文件提供激励TimeBased支持VCDVPDfsdb格式激励特VCD文件说支持RTL级仿真文件者Gate级仿真文件RTL LevelVCD文件read_vcd –rtl者read_vcd –zero_delay命令否者会识成Gate Level仿真文件
二PTPX工具进行功耗分析流程
PTPX进行功耗分析前准备文件:
l 逻辑综合V文件
l 静态时序分析sdc文件
l 功耗信息db文件
l RTL仿真文件VCD
21 V文件sdc文件db文件获
DC完成工程综合会图示V文件(实验室综合工程rfid2016_v2例V文件存放rfid2016_v2flowsynresult目录):

图21 V文件存放目录
V文件里面容:

图22 综合V文件容
接获取sdc文件PTPXsdc文件DCsdc文件致
db文件sdc文件样db文件综合时db文件需注意db文件必须具功耗信息
22 VCD文件获取
VCD文件指Value Change DumpVCDIEEE 1364标准中定义种ASCII文件通文件格式
包含头信息变量预定义变量值变化信息正包含信号变化信息相记录整仿真信息文件现仿真够显示波形
VCDVerilog HDL语言标准部分Verilog仿真器够查该文件里Modelsim仿真工具例说明产生VCD文件两种方法
1 Modelsim编译工程控制台命令行生成VCD文件:
****************************
VCD file filenamevcd
VCD add path *果前目录生成(simbat目录)直接VCD add *
****************************

图23命令行生成VCD文件
注意:控制台前先restart生成VCD运行仿真
2 直接testbench中系统函数Dump生成VCD文件次testbench tb_soc_xcs例Initial块中加入两行代码:
****************************
dumpfile(top_soc_xcsvcd)top_soc_xcs生成VCD文件名
dumpvars(0tb_soc_xcsutop_soc_xcs)tb_soc_xcsutop_soc_xcstestbench模块名例化整工程顶层模块名果样命名Modelsim仿真时会报错
****************************
种方式生成VCD文件时点击Modelsim中Run图标VCD文件中已包含全部变量值变化信息

图24系统函数生成VCD文件
生成VCD文件容:

图25 VCD文件容示例
23 TCL脚撰写
TCL脚PTPX时诸命令综合起样进行功耗分析会简单明许脚需pt_shell中source脚
1 服务器中右键open terminal
2 CdPT目录
3 输入pt_shell进入命令行模式者primetime进入图形界面
4 CdTCL脚目录
5 输入source filenametcl进行功耗分析
实际流程示:

图26TCL脚进行功耗分析
TCL脚:
####################################
#
# set the power analysis mode
#
####################################
#
set power_enable_analysis TRUE

set power_analysis_mode time_based
#
####################################
#
# read and link the gate level netlist
#
####################################
#
set search_path data

set MaxLibName sc9mc_logic0040ll_base_rvt_c50_tt_typical_max_1p20v_125c

set MaxLibDbFile {MaxLibName}db

set link_library * sc9mc_logic0040ll_base_rvt_c50_tt_typical_max_1p20v_125cdb

read_verilog homerfidzilongpower_analysedatatop_soc_xcs_synv

current_design top_soc_xcs

link
#
####################################
#
# READ SDC and set transition time or annotate parasitics
#
####################################
#
read_sdc homerfidzilongpower_analysedatatop_soc_xcs_SYNsdc echo
#
####################################
#
# Checkupdateor report timing
#
####################################
#
check_timing

update_timing

report_timing
#
####################################
#
# read switching activity file
#
####################################
#
read_vcd rtl datatop_soc_xcsvcd strip_path tb_soc_xcsutop_soc_xcs

report_switching_activity list_not_annotated
#
####################################
#
check_power

update_power

set_power_analysis_options waveform_format out waveform_output vcd

report_power –hierarchy
####################################
注意read_sdc定加echo否会显示法读取sdc文件外sdc文件中定义current designtcl脚中定义ptpx读入sdc时会报错需sdc中current design删掉外read_vcd时strip_path面带路径dump file时带路径否读取VCD文件中信息
24 PTPX查功耗曲线
TCL脚运行完会出power report图示:

图27功耗报告

脚运行果没报告error会脚级目录生成fsdb文件图形界面开文件查功耗曲线图形界面开方法pt_shell中输入gui_start

图28 pt_shell中开图形界面

图29图形界面示例
图形界面开菜单栏中选择power>View Waveforms导入前生成fsdb格式文件查波形查波形界面选择signals>get signals选择查模块信号波形里整模块功耗例左边窗口选择右窗口选择Pc(top_soc_xcs)图示:

图210 get signals中选择模块
整模块功耗 图示:

图211整体工程功耗
图中整模块功耗峰值616mW
三参考文献
eetopcn_PrimeTime PX User Guide 201006
文档香网(httpswwwxiangdangnet)户传

《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
该内容是文档的文本内容,更好的格式请下载文档

下载文档,方便阅读与编辑

文档的实际排版效果,会与网站的显示效果略有不同!!

需要 3 香币 [ 分享文档获得香币 ]

购买文档

相关文档

2017年使用word对学位论文进行排版

使用word对学位论文进行排版  学位论文是为申请学位而撰写的学术论文,是评判学位申请者学术水平的主要依据,也是学位申请者获得学位的必要条件之一。 详细内容请看下文word对学位论文进行排版。  内容是指作者用来表达自己思想的文字、图片、表格、公式等;格式则是指论文页面大小、边距、段落、字体设置等。内容是论文的主体,但格式也是不可忽视的一个方面,可以说,规范的格式在一定程度上能够提高论文

t***3 7年前 上传386   0

进行职位分析的常规步骤

进行职位分析的常规步骤对于一个运行稳定的企业来讲,最常用的职位分析方法是问卷调查法和面谈法。下面我们就结合这两种方法具体讲一下进行职位分析的步骤。一般来讲,职位分析可以分为五大阶段:方案阶段、设计阶段、信息收集阶段、信息分析阶段和结果表达阶段。方案阶段方案阶段是职位分析的第一阶段。在方案阶段中;应该明确职位分析的目的和意义、方法和步骤;确定职位分析的方法;限定职位分析的范围,并选择具有

鬼***笑 2年前 上传777   0

如何进行学情分析

一、为什么分析?——学情分析的必要性学情分析是教与学目标设定的基础。没有学情分析的教学目标,往往是空中楼阁,因为只有真正了解学生的现有知识经验和心理认知特点,才能确定学生在不同领域、不同学科和不同学习活动中的最近

平***7 2年前 上传593   0

等级资料Ridit分析及正确使用

等级资料Ridit 分析及正确使用 第三军医大学基础医学部数学教研室(400016)  罗明奎 蔡昌启 雷玉洁 王开发   对等级分组资料的处理, 通常有三种方法:Ridit 分析法、秩和检验法、cpd (cross product difference) 检验 法。本文重点阐述Ri dit 分析法及其正确使用。 并非所有的等级分组资料都可用Ridit 分析法进 行处理,应该具体结合

d***e 14年前 上传16968   0

热敏封使用效果分析

热采封隔器在金6块使用效果分析 1、      热采封隔器的简介 稠油注汽封隔装置送封管柱连接方式至下而上依次为:喇叭口+油管2根+稠油热采井注汽封隔装置+热采井可捞式开关装置+送封管柱,装置采用上提、旋转、下放、使下卡瓦张开座封,整套下放油管自重压缩密封件,然后,投入钢球,打压至20MPa,使上下卡瓦张开锚定套管,同时丢手。可捞式开关装置和密封插管与封隔装置配套使用,注汽(采油)时下入密封

5***草 11年前 上传9594   0

如何进行OTC市场分析

如何进行OTC市场分析 OTC营销经理要做的第一件事就是:市场分析。 一些OTC经理不喜欢做市场分析,对市场分析的作用认识不够,一部分OTC经理认为我没做市场分析销售不是很好吗?对市场分析缺乏认识,只是以经验和主观进行对市场发展的判断。甚至不会做,掌握不了方法,分析是经验的、随机的、想当然的,缺乏这方面的专业训练。而且市场分析也没有集思广益,只是想当然地去做。不重视情报的收集,没有市

b***4 9年前 上传28230   0

利用渠道服务产出分析进行渠道定位

利用渠道服务产出分析进行渠道定位 1.概述   营销渠道的设计和管理,与其他营销活动一样,要从最终消费者出发,了解其需求特性,以便能更好地提供服务,满足需求。只是在这里我们所关心的是消费者是如何购买、使用产品,而不是需求何种产品。   营销渠道不仅仅只是产品的流通管道,更重要的是,产品在流通中会实现价值增值,因此,营销渠道可以看作是又一条“产品线”,只是该“产品线”生产的不是产品本身,而

W***8 14年前 上传7292   0

大学生教师实习心得:如何使用史料进行历史教学

大学生教师实习心得:如何使用史料进行历史教学 在新出台的2018年《普通高中历史课程标准》中,着重强调了史料运用在历史教学中的重要作用,要求教师在高中阶段提高学生史料实证、历史解释的能力,培养学生的历史思维。毫无疑问,史料是历史教学的灵魂,适当的运用史料,可以弥补教材的不足,拓展学生的视野,增强历史的真实感。那么如何正确使用史料则成为历史教学必须思考的一个问题。 一、根据教材的需要选择史料

y***t 5年前 上传903   0

产品制造过程及使用设备分析

产品制造过程及使用设备分析 制造过程 使用设备名称 设备生产能量计算说明 产 品 合格率 合格产品每月需要量 每月计划产制数量 设备台数 每日工作时数 工作负荷率 附属设备及 工 具

b***g 12年前 上传3702   0

2017年依法进行人力资源管理分析论文

依法进行人力资源管理分析论文  企业既是国家事务的重要组成部分,又是经济文化事业、社会事务的重要组成部分,因此无论是从党的组织依法执政,还是企业经济组织、依法治企,都必须贯彻依法治国的基本方略。 下面是依法进行人力资源管理分析。  企业人力资源管理工作本身,就带有很强的法律性、政策性和规定性,更需要依法进行管理。否则,人不治,企业将难以发展,企不治,社会经济将难以平稳运行,国家则难以富强

城***人 6年前 上传441   0

企业项目进行中的造价财务管理分析

企业项目进行中的造价财务管理分析摘要:企业建设项目的投资较大、工期较长,如果没有严格合理的造价财务管理制度与规程,很可能出现造价财务管理问题。从项目前期、项目建设全过程以及竣工决算三个角度,分析了企业项目建设的造价财务管理与监督方法。关键词:企业;项目建设;造价财务管理在现代社会工业化进程不断加快的环境下,企业的发展也保持了较快的速度。在企业中基建项目的建设投资费用较大,而在不规范的

s***y 12年前 上传604   0

如何进行行业以及行业竞争分析

如何进行行业及行业竞争分析   导 言   如果怕热,就别进厨房。 ——哈里·S·杜鲁 没有比这更能集中你的注意力的了:你不断地看到你的竞争对手想把你从地图上驱逐出局。 ——威勒·嘉洛维 营销完全是一场文明的战争,取胜的关键在于文字、创意和缜密的思考规划上。

d***1 13年前 上传1332   0

从净利润角度对企业进行分析

摘 要本文通过研究净利润来分析企业经营方向和面临的问题,以财务报表分析的形式,通过分析,找出企业在生产经营中存在的问题,以评判当前企业的财务状况,预测未来的发展趋势。本文分析了净利润指标的意义和定义,同时有针对性地提出了净利润指标所存在的问题,并提出了相应的解决措施。关键词:财务,盈利能力,净利润 目 录引言 1一、净利润概念和影响因

平***苏 1年前 上传457   0

如何用要素分析法进行岗位评估

如何用要素分析法进行岗位评估岗位评估的方法很多,结合当前的企业现状与流行的岗位评估方法,这里介绍一个比较通用的排序法和要素评分法。排序法岗位评估的优点是简单易行,缺点是对评估人员要求高并且不够客观,从而影响评估的公平性。这里,主要介绍要素分析法岗位评估方法:先设定评估因素(也称报酬因素CompensableFactors),每项工作依照它在各项因素上所评估的等级,计算出一个点数,将该工作

l***1 11年前 上传650   0

胡八一-如何进行人员状况分析

 时代光华管理课程——人力资源系列 HRM010208 如何进行人员状况分析学习导航 通过学习本课程,你将能够:● 了解如何分析在岗人员情况;● 掌握员工流失率背后的深层意义;● 学会降低主动流失率的方法;● 学会进行人员工作效益分析。

a***n 11年前 上传576   0

胡八一-为什么要进行人力成本分析

 时代光华管理课程——人力资源系列 HRM010202 为什么要进行人力成本分析学习导航 通过学习本课程,你将能够:● 进行人力成本分析;● 了解影响人力成本分析的因素;● 认识人力成本分析的意义。

x***g 11年前 上传472   0

考试之后学生如何进行试卷分析

考试之后学生如何进行试卷分析  考试过去了,面对那可怜的成绩,你在想什么呢?  不要为自己以前的不努力而后悔,不要为以前的上课睡觉而自责,不要为自己有那个问题没有弄明白而愤恨。  你要做的不是这些,你要做的是分析能够都到这个分数的每一个原因。找出来,在下一步的学习中改之。过去的一切都已经随风而去,但我们还有今天,还有明天。以你以往的经历证明,你的这种后悔、自责和愤恨,持续的时间从来没

长***猩 9年前 上传386   0

2017年怎样科学地进行试卷及成绩分析

怎样科学地进行试卷及成绩分析  对于学生来说,试卷分析在考场内试卷发下就开始了。通览全卷是一个整体的分析,大体的难易、知识点的分布以及分数的布局、时间的分配要在第一时间内作出反应。然后由易到难,抽丝剥茧,开始做题。当试卷上交后,也可以趁热打铁,简单的反思一下,重点反思什么知识点自己没掌握好!等试卷阅完发回,就要开始动大手术了:得(失)了多少分,这些分得失于那些题目,这些题目包含了怎样的知识点

z***7 7年前 上传394   0

如何进行行业及行业竞争分析

如何进行行业及行业竞争分析导 言如果怕热,就别进厨房。——哈里·S·杜鲁没有比这更能集中你的注意力的了:你不断地看到你的竞争对手想把你从地图上驱逐出局。 ——威勒·嘉洛维营销完全是一场文明的战争,取胜的关键在于文字、创意和缜密的思考规划上。

c***7 12年前 上传375   0

许盛华-如何进行培训需求分析

如何进行培训需求分析HRM030202学习导航通过学习本课程,你将能够:● 了解什么是培训需求分析;● 掌握初步需求分析的技巧;● 掌握需求分析中“点”、“面”结合的分析法;如何进行培训需求分析一、什么是培训需求分析 培训五流程的第一步,即培训的开始是需求,分析培训需求便于确定培训方向和培训目的,是进行其他具体培训工作的基础。1.

K***1 10年前 上传510   0

从深圳珠宝产业创新进行分析—财经金融

 从深圳珠宝产业创新进行分析—财经金融   珠宝行业是一个古老的行业,年轻的深圳经过20年的快速发展成为中国的珠宝产业龙头。这个奇迹可以总结为:  一靠“天时地利”;紧靠香港这个国际珠宝产业中心,在深圳开放的初期正好赶上香港由于人工和地价的飞涨,导致制造业的转移,深圳顺势承接了香港的传统制造业,其中就包括珠宝产业。  二靠“人多势众”;由于深圳先行一步地开放,有着众多的优惠政

w***g 10年前 上传369   0

怎样进行有效的培训需求分析(doc 9)

怎样进行有效的培训需求分析近年来,企业对培训的重视和投入越来越大,然而培训效果却不尽人意。企业在选择培训时,对自身的需求不明确,选择培训课程很盲目,很多是应急式培训,常常 “流行什么学什么,别的企业学什么我就学什么” 甚至拍脑门决定培训内容,企业花了许多冤枉钱。 据统计,目前约有70%的企业选择了70%以上不需要的培训课程,造成上述问题的原因,关键是企业缺乏有效的培训需求分析。

r***j 10年前 上传402   0

如何用要素分析法进行岗位评估

如何用要素分析法进行岗位评估岗位评估的方法很多,结合当前的企业现状与流行的岗位评估方法,这里介绍一个比较通用的排序法和要素评分法。排序法岗位评估的优点是简单易行,缺点是对评估人员要求高并且不够客观,从而影响评估的公平性。这里,主要介绍要素分析法岗位评估方法:先设定评估因素(也称报酬因素CompensableFactors),每项工作依照它在各项因素上所评估的等级,计算出一个点数,将该工作

9***7 11年前 上传454   0

如何进行财务报表分析

如何进行财务报表分析  1、财务报表分析中的三大基础报表是什么?   2、财务报表中唯一不按照权责发生制原则编制的报表是什么?   3、财务报表分析的作用是什么?   a: 1.损益表、资产负债表和现金流量表   2.现金流量表   3. (1)分析企业的偿债能力,分析企业权益的结构,估量对债务资金的利用程度。   (2)评价企业资产的营运能力,分析企业资产的分布情况

c***z 12年前 上传419   0

曾庆学-如何进行培训需求分析

如何进行培训需求分析HRM030304学习导航通过学习本课程,你将能够:● 知道年度培训规划的“一个中心两个基本点”;● 了解培训需求分析的双轨模型;● 认识有效培训需求的三个层面;● 掌握培训需求分析的方法。如何进行培训需求分析一、正确认知培训需求分析1.年度培训规划的“一个中心两个基本点” 一个中心企业年度培训规划要以绩效目

体***改 10年前 上传530   0